Open access peer-reviewed chapter

Cu Wiring Fabrication by Supercritical Fluid Deposition for MEMS Devices

Written By

Eiichi Kondoh

Submitted: 08 May 2018 Reviewed: 23 September 2018 Published: 15 November 2018

DOI: 10.5772/intechopen.81636

From the Edited Volume

Novel Metal Electrodeposition and the Recent Application

Edited by Masato Sone and Kazuya Masu

Chapter metrics overview

1,407 Chapter Downloads

View Full Metrics

Abstract

Process technologies that use supercritical CO2 fluids to fabricate high-aspect-ratio three-dimensional nano- and micro-components are described. Supercritical CO2 is a state of CO2 above the critical point. Supercritical CO2 fluids are used as alternatives to common media (gases and liquids) in MEMS device fabrication to both overcome the drawbacks of these materials and to realize a superior three-dimensional process opportunity. Supercritical fluids behave as both gases and liquids, offer many of the advantages of both, and have zero surface tension. Supercritical fluids are an ideal medium for fabricating very high-aspect-ratio features owing to their superior capability of diffusion transport. As MEMSs have complex and high-aspect-ratio structures, using a supercritical fluid as a process medium in MEMS fabrication provides ideal performance in film coating, plug filling of concave features, and the etching/cleaning of residues. In this chapter, the physicochemical properties of supercritical fluids are first described in terms of MEMS processing, but from a different point of view than that of the common literature on supercritical chemical processing. Next, various applications to thin film processing are described with a focus on interconnect/wiring fabrication of MEMS devices.

Keywords

  • supercritical fluid
  • carbon dioxide
  • electroplating
  • thin film deposition
  • interconnect
  • wiring
  • electrode

1. Introduction

In MEMS devices, high-aspect-ratio three-dimensional components are employed, and their sizes vary from the nm-scale to the mm-scale. Interconnects and conductor wiring/electrodes are not exceptions.

Si micromachining is a key MEMS technology. Si micromachining has been developed and expanded based on Si integrated circuit fabrication technologies. The step that applies electrically-conductive components to a non-conductive surface is called “metallization”. In Si processing, metallization refers to process steps that place wiring or interconnects on the Si device surface. Vacuum evaporation was employed in the early era of the Noyes patent [1]. Sputtering was soon introduced, and is now the most commonly used metallization process. The progress of Si large-scale integrated circuit (LSI) technology was accomplished in large part by the advancement of vacuum and dry process technologies.

This paradigm changed drastically in 1987 when IBM released Cu metallization technology for ultra-large-scale integrated circuit (ULSI) fabrication. As the dry etching of Cu is not straightforward, Cu is electroplated into trenches or vias—a via is a vertical hole that connects upper and lower layers of horizontal wiring—, and the excess Cu is removed by chemical mechanical polishing (CMP). The significance of this is that wet technologies, which were used only for cleaning in advanced ULSI manufacturing, were introduced to metallization. ULSI interconnect metals other than Cu, such as Co and Ru, are now deposited by electrochemical deposition, but vacuum processes are also commonly used in ULSI metallization.

Process scientists and engineers have long used gas, vacuum, and wet technologies in USI metallization, utilizing their advantages and minimizing their drawbacks. But what comes next? If vacuum or wet processes impose limitations, what can be done?

MEMSs have more various and complicated structures than LSIs, as their dimensions, operating principles, and functions vary enormously. Wiring that interconnects sensors, actuators, and electronic components takes on different dimensions and shapes, and substrate materials also vary from semiconductors, ceramics, and glasses to polymers. This diversity sometimes challenges the limitations of existing wet and dry processes.

Fortunately, the use of supercritical CO2 fluid can help to solve some of these issues. Supercritical CO2 is a fluidic medium that is neither a gas nor a liquid. It has solvent capability and zero surface tension. The application of supercritical CO2 to LSI processing was attempted for drying [2] and cleaning [3] in the 1990s. Moreover, supercritical CO2 was commonly used for sticking−/stiction-free drying, which was the simplest application of supercritical CO2 because no additional chemicals or gases must be added to the CO2 and the operation temperature is not very high.

This section describes the use of supercritical CO2 in metal deposition. Cu and related metal deposition is reviewed along with data regarding the fabrication of wiring and interconnects.

Advertisement

2. What is supercritical CO2 fluid?

Figure 1 shows a phase diagram of CO2 and depicts the motion of CO2 molecules. The phases (liquid, gas, solid) of a substance are uniquely defined as a function of pressure and temperature. The phase diagram maps the regions of the phases, and the borders between the phases are called equilibrium lines. The gas-liquid equilibrium line dead-ends at the upper-right, and this point is called the critical point. Above the critical point, the substance behaves as a homogeneous and continuous fluid, neither as a gas nor as a liquid, and this fluid is called a supercritical fluid. The critical point of CO2 is 7.38 MPa and 31.1°C [4]. CO2 has a lower critical pressure and temperature than most other industrially-used supercritical fluids such as H2O.

Figure 1.

Conceptual phase diagram of CO2 and a depiction of the motion of molecules.

Supercritical CO2 fluid has the following superior characteristics: (1) nano-penetration capability comparable to that of a gas, (2) solvent and cleaning capability comparable to a liquid, (3) inert, stable, and safe, (4) zero surface tension, and (5) recyclability. It has been used industrially for the extraction of caffeine, edible oils, essential oils, and aromatic substances. Supercritical CO2 has also been widely used in electron microscopy to dehydrate biological tissues. This technique is called “critical point drying” and is an essential technique used to prevent the shrinkage of tissues during drying. Table 1 lists several important properties of gas, liquid, and supercritical CO2 fluids.

Density (kg/m3)Viscosity (kg/m/s)Thermal conductivity (W/m/K)Diffusion constant (m2/s)
Liquid100010−30.610−9
Supercritical fluid1003 × 10−50.110−7
Gas0.71 × 10−50.0310−5

Table 1.

Properties of gas, liquid, and supercritical carbon dioxide fluids.

Several uses of supercritical CO2 in microelectronics manufacturing have been proposed, such as wafer cleaning and high-aspect-ratio photoresist drying, as mentioned above. In metallization or wire formation, the deposition of highly-conductive metals is of concern. Besides vacuum technology, there are two other commonly used categories of technology for the chemical deposition of metals. One is electrochemical deposition; in our case, electrodeposition (electroplating), in which a thin metal film is formed from metal cations. The other is chemical deposition, in which a thin metal film is formed from metal-containing molecules. Furthermore, electroless deposition can be employed, and will also be discussed.

Advertisement

3. Electrochemical deposition

3.1 Catalyst seeding for electroless plating

Electroless plating is a series of non-galvanic reactions proceeding thermochemically in an aqueous solution. A noble metal catalyst is necessary to start the reactions, in which metal cations are reduced to zero-valence metal atoms by a reduction reagent added to the electrolyte. One very strong advantage of electroless plating is its ability to metallize non-conductive substances such as polymers and glasses. In MEMS devices, electroless plating has a large advantage in fabricating actuators and flexible devices that use polymers as a supporting component.

The adhesion of metals to polymers has been a serious issue in polymer metallization, as the attractive forces between metals and polymers are generally weak. Moreover, the difference in thermal expansion coefficients between metals and polymer is large, which can induce significant internal shear stress at the interface. Metal-polymer delamination can easily occur by either effect between the polymer and the electroless-plated metal or between the polymer and the seeded noble metal catalyst. When the components are large, such as in the automobile industry or in commodity manufacturing, the polymer surface can be roughened by chromic or manganese acids, greatly enhancing adhesion. This is frequently referred to as the “anchor effect“. However, in MEMS and/or microelectronic applications, nanometer precision is required, and such brute force methods cannot be employed.

The use of supercritical CO2 to catalyze the polymer surface has been proposed. Supercritical CO2 has a high-diffusivity as well as a low viscosity. It is a non-polar hydrophobic fluid and functions as a plasticizer for hydrophobic polymers [56]. In addition to these characteristics, supercritical CO2 is a solvent that can dissolve hydrophobic organic compounds, including organometallic complexes. Organometallic complexes that have ligands containing a large alkyl group or a fluorinated group have a higher solubility in supercritical CO2. For instance, dimethyl(cyclooctadiene)platinum II and hexafluoroacetylacetonatepalladium (Pd(hfac)2) are known to have high solubility [7]. These dissolved compounds will diffuse towards the free volume of a polymer that has been swelled in supercritical CO2.

Noble metal complexes can function as catalysts for electroless plating; however, as free metals offer superior catalytic performance, these organometallic compounds are thermally decomposed or reduced by a reduction reagent. One example of this type of reaction is:

Pdhfac2+H2Pd+HhfacE1

Byproducts of this type of reaction dissolve better than the organometallic compounds, therefore, they do not reside in the polymer. Free metal atoms form clusters and/or nanoparticles that are dispersed in the polymer matrix [8].

When a polymer treated in this manner is placed in a plating bath, an electroless deposition reaction starts at the metal catalyst surfaces that are exposed to the bath solution. As the catalyst metal particles are embedded in the polymer, the adhesion between the polymer and the plated metal is improved. Dispersion of the catalyst particles influences the adhesion significantly. The dispersion density and dispersion thickness are tailored by adjusting the conditions of the injection of the complexes, such as the concentration, temperature, and CO2 pressure. Mixtures of organometallic complexes can also be used.

In this chapter, the application of supercritical CO2 fluids for electroless plating is described. The fluids are used as a medium to provide a catalyst to a non-conductive surface. The fabrication of Cu-coated aramid fibers [8], liquid crystal polymers [9], and polymide sheets [10] has been reported. The topic in this chapter does not include a plating process itself, electroless plating in a supercritical CO2-based fluid was also reported. The design concept of an electroless plating bath is similar to the electroplating described hereinafter.

3.2 Electroplating

In electroplating, an external electric potential is applied to a conductive workpiece in an electrolyte, and a metal film is deposited through electrochemical reactions. Metal ions (cations) are reduced by the electrons injected from the surface of the conductive workpiece. Electroplating offers a high current efficiency, a large deposition rate, cost effectiveness, low temperature (room temperature) process capability, and the ability to fabricate three-dimensionally complicated components, which is called electrocasting. For these reasons, electroplating has been widely used in various industries to manufacture automobiles, appliances, machine elements, tools and jewelry. In MEMS fabrication processes, electroplating is a commonly used technique for metallization, electrode/wiring formation, and metal component fabrication. LSI Cu metallization and the fabrication of inductors in the pickup heads of magnetic disks are well known nanotech applications of electroplating.

Supercritical CO2 itself is not electrically conductive or polar, and is not miscible with electrolytes, and Silvestri concluded that supercritical CO2 is not appropriate as a medium for electrochemical reactions [11]. One way of solving this serious issue is to add a supporting electrolyte to the CO2, and the synthesis of conductive polymer [12] and Cu plating [13] have been reported.

Sone et al. proposed the use of a supercritical CO2-aqueous electrolyte emulsion as a medium for electrochemical reactions. Such an emulsion can be formed by adding a surfactant, such as poly(ethylene oxide)alkyl ethers and stirring this mixture above the critical point of CO2 [14, 15]. Before stirring, the CO2 and electrolyte are separated, and an apparently single-phase mixture solution, or emulsion is formed when the mixture is stirred intensively. Interestingly, this emulsion is electrically conductive. That is, current paths that connect the anode and cathode exist, and thus electroplating reactions can occur. Sone named this process “supercritical nanoplating,” abbreviated SNP hereinafter (Figure 2).

Figure 2.

Supercritical nanoplating (SNP). Courtesy of Prof. Masato Sone.

Figure 3 compares the surfaces of electroplated Ni films. The film obtained by SNP using a usual plating solution (Watts bath) as an electrolyte had a smoother surface. On the other hand, the film obtained using the Watts bath alone exhibited pinholes and scratches/grooves. The pinholes originated from H2 bubbles at the cathode surface and the scratches and grooves reflect the surface roughness of the workpiece. This superior surface smoothness of the SNP sample was thought to be due to good miscibility between H2 and supercritical CO2. The H2 bubbles dissolve in the supercritical CO2, suppressing the formation of pinholes and improving the surface levelness. The critical role of the supercritical CO2 in the SNP was confirmed by comparing these results with those of another experiment that used a hexane-electrolyte emulsion—supercritical CO2 has a similar solvent capability as hexane and hexane is also nonconductive—, and the surface topography of that deposited film was much worse than that shown in Figure 3 [16].

Figure 3.

Surfaces of Ni films deposited using a usual Ni plating solution (Watts bath, left) and SNP (right). Courtesy of Prof. Masato Sone.

These advantages of SNP are quite attractive for MEMS component production. Many MEMS components have complicated three-dimensional topography, and this makes it difficult to employ conventional electroplating. The electrolysis reaction competing with the plating reaction produces gaseous H2, and the resulting H2 gas bubbles cause pinholes and voids. Void formation is a serious issue in wiring/interconnect formation, especially for via filling. More H2 bubbles or voids appear when the plating time is longer or the current density is higher. The sizes of MEMS components are diverse, and a long time and/or a high current density plating process is needed to fabricate large components, which tends to lead to void formation. SNP does not generate bubbles, and Ni micro pillars 50–120 μm in diameter have been successfully formed by electroplating Ni in holes opened in an SU-8 film [17].

SNP of Cu has also been demonstrated. Cu nanoparticles were co-added to a plating emulsion to tailor the distribution of the electric field in the emulsion. Figure 4 shows cross-sectional transmission electron microscope (TEM) images of Cu-filled LSI test vias 60 nm in diameter and 120 nm in depth. No voids or fill flaws were observed [18]. The Cu grew from the via bottom, so a bottom-up fill was performed, and the via consisted of a single grain texture (111). SNP allows the control of crystallinity by tuning the plating conditions. One difference from ordinary electroplating is that the current path in an SNP bath is generated through the percolation of micelles of the electrolyte. Electrochemical reactions can occur when a chain of micelles is incidentally generated and connects the cathode and anode. This percolation chain is instantly severed, realizing pulse-like electroplating and leading to improved crystallinity and growth rate. The application of supercritical CO2 fluids to Cu through-Si vias (TSVs) was also reported [19], demonstrating the versatility of this method.

Figure 4.

Cross-sectional transmission electron microscope (TEM) images of a Cu via. Courtesy of Prof. M. Sone.

Advertisement

4. Supercritical fluid chemical deposition

4.1 Principle and features

In supercritical fluid chemical deposition, abbreviated SFCD or SCFD, the deposition reactions proceed thermochemically. The precursor is dissolved in the supercritical fluid, and the fluid functions as a reaction medium and is not usually involved in the deposition reaction. This is similar to thermal CVD or metal organic CVD (MOCVD) using an inert gas as a carrier of the precursor. The precursor used in CVD is a gas, at least when supplied to the reaction chamber, and therefore should be volatile. Most metal organic compounds are not very volatile and are mostly sold, as their molecular weight is large, which often leads to difficulty in process design and control and in ensuring safety and cleanliness. However, in SFCD, the precursors are dissolved in the supercritical CO2 fluid (CO2 is commonly used) and the good diffusivity of the fluid enables conformal deposition and/or nanofilling, which are crucial for MEMS device fabrication.

For example, a deposition reaction can be stated as:

Cuhfac2+H2Cu+2HhfacE2

for the case of Cu deposition [20], where hfac = a hexafluoroacetylacetonate ligand. The byproduct Hhfac (hexafluoroacetylacetone) has a higher solubility in supercritical CO2, which means that this reaction preferentially proceeds to the right, the deposition temperature is lower than for the same reaction in the gas, and the deposited Cu contains less impurities. Other noble and near-noble metals such as Ni [21, 22], Co [21], Pd [23], Pt [24], and Ru [25] can be deposited using similar chemistry. Typical temperatures and pressures for Cu deposition are 50–250°C, and 10–20 MPa. These temperatures are higher than those used for supercritical CO2 drying and cleaning; reactive metals are generally not deposited as they react with the CO2. Oxides can also be deposited in a similar manner through thermochemical reactions in the fluid that dissolve the precursors. RuOx [25], TiO2 [26], SiO2 [27], ZnO [28], Al2O3 [29], Y2O3 [30], Bi2O3 [31], SrO [32], and other oxides and mixed oxides that are deposited by CVD can also be deposited by SFCD. Figure 5 illustrates the principles of SFCD for high-aspect-ratio feature filling, and this will be discussed below.

Figure 5.

Principles of metal filling into small features by SFCD.

4.2 Film deposition and nano filling

SFCD exhibits superior conformal deposition. The example shown here is the metallization of glass fibers. A few-mm-thick glass fiber textile was immersed in a supercritical CO2 solution and Cu deposition was carried out. Figure 6 shows a cut cross-section after the Cu deposition. Due to good diffusivity and penetrability of the supercritical CO2, even the deep interior of the textile was successfully metallized.

Figure 6.

Metallization of glass fibers. Illustrated principle (a), cut cross-sections after (b) and before (c) metallization.

Supercritical CO2 is hydrophobic. This means that it can wet deep into complicated structures that have a hydrophobic surface that would repel an electroplating electrolyte. Figure 7 shows MEMS needles designed for electric bio-tweezers. The needles are made by filling Cu into a PDMS mother die, fabricated by an ordinary MEMS pattern transfer process. One challenge in forming this structure is the coating and filling of Cu into the PDMS while maintaining a sharp tip. One serious issue in Cu metallization is the formation of a seed (electrode) Cu layer directly on the needles. Sputtering is not very useful due to the poor conformability of sputtering. Moreover, overheating and deterioration of the PDMS occurred due to the incidence of energetic ions, as PDMS is thermally insulative. Electroless plating was thought to be a promising alternative technology, but the poor wettability of the electrolyte was a serious issue preventing good film conformability. Cu CVD requires a high temperature and poor nucleation due to weak Cu-PDMS chemical interaction was also problematic. Cu SFCD can avoid all of the above issues. The surface of the PDMS needles was coated with a thin Cu film by SFCD, and then Cu was electroplated using the SFCD Cu layer as a seed layer to thicken the Cu film. The PDMS mother die was detached and self-standing Cu needles remained (Figure 7).

Figure 7.

SEM images of Cu bio-tweezers (a, b) and process steps. (1) glass template, (2) pattern transfer to PDMS, (3) seed Cu layer formation by SFCD, (4) Cu electroplating, and (5) detachment of PDMS.

Figures 8 and 9 shows electron micrographs of Cu filled into test structures of LSI interconnects (vias and trenches). Nanofeatures with aspect ratios of 10–40 were successfully filled. The superior filling capability of SFCD was demonstrated by completely filling 2 nm hydrophobic pores (in a porous low-dielectric constant film) with Cu [33]. Similar outstanding filling capabilities have been repeatedly reported [34, 35]. Other LSI metallization materials such as Ni and Co demonstrate comparable superior filling capabilities [22]. The dimensions of LSI interconnects and wiring are continuously shrinking, while the aspect ratio of the interconnects is increasing; therefore, SFCD is a very attractive technology for LSI interconnect fabrication.

Figure 8.

Cu filling into nanoscale features for LSI. (a) Cross-sectional SEM image of 50-nm-wide trenches, (b) cross-sectional TEM image of 130-nm-diameter vias, (c) Cu fill into 5 nm pores.

Figure 9.

Cu filling into deep nanoscale features using different precursors. Dibm = diisobutyrylmethane, hfac = hexafluoroacetylacetone, dippa = diisopropylpropion-amidinate.

SFCD metallization has also been applied to MEMS-based LSI packaging (Jisso) technologies. One example is the metallization of Si through-vias (Si TSVs) or glass through-vias (TGVs) [36, 37], where high aspect-ratio vias opened by engraving an Si or glass wafer using micromachining technology were metallized with Cu. Figure 10 shows a cross-sectional optical micrograph of Cu-metallized bent TGVs in a glass interposer. The interposer is a small circuit board that provides interconnects between Si chips attached to it and provides electrical connections to the printed circuit board on which the interposer is mounted. Si and glass are promising next-generation materials, and if the vias can be bended and pass through the interposer, the through-vias will form a faster and shorter electrical circuit inside the interposer body. The aspect ratios of such through-vias are extremely high, and it is a serious issue that there is no practical method to fill the vias or coat the via interior with a diameter of 15 μm and a length of 2 mm (aspect ratio = 130).

Figure 10.

Cu coating inside TGVs with an aspect ratio of 130. (a) Cross-sectional optical micrograph of a glass interposer, and (b), (c), and (d) show enlarged views of regions B, C, and D in (a).

Advertisement

5. Advantages of using supercritical fluids

The general advantages of supercritical fluids have already been described above. Here, we discuss specific advantages over other media (gases and liquids).

First, a supercritical fluid is the best medium for the transport of chemical precursors by diffusion. Many publications on supercritical fluid processing have stated that supercritical fluids have a density as high as that of a liquid and a diffusivity as high as that of a gas. However, as shown in Table 1, the density and diffusivity of supercritical CO2 both have intermediate values, between that of the gas and the liquid. In contrast, the ability to transport a dissolving substance by diffusion, or diffusion flux, becomes the highest, as the diffusion flux is a product of diffusivity and density (see Figure 11).

Figure 11.

Diffusion flux (a) and molecular motions in small features (b) of gas, liquid, and supercritical fluids.

This is analogous to transport on motorways. In a suburban area, the speed of cars (diffusivity) is high but the number of cars (density) is low, so the overall transportation volume is low. In big cities like Tokyo, Moscow, New York, and Paris, the motorways are heavily backed up (high density), and the cars move slowly (low diffusivity). As a result, the net transportation volume is small. On inter-city motorways, cars run at a moderate speed (medium diffusivity) keeping a moderate inter-vehicle distance (medium density), and therefore the transport volume per a unit time is the highest (Figure 12).

Figure 12.

Condensation/precipitation of precursor inside nanoscale features upon temperature change in supercritical medium.

Inside high-aspect-ratio features, diffusion transport is the governing transportation mechanism; other transport mechanisms such as advection are negligible especially, inside nanoscale features. Therefore, the supercritical fluid has the best ability to transport chemical precursors deep into small high-aspect-ratio features.

The second distinct feature of the supercritical fluid is its complicated solubility behavior. The solubility of a substance in supercritical CO2 fluid is a function of temperature and pressure. At high temperatures, the vapor pressure of the solute increases, increasing the solubility, whereas the decrease in the fluid density leads to the decrease in the solvent capability of the fluid, decreasing the solubility. At higher pressures, the fluid density increases and the solvent capability increases, although the high pressure can influence molecular interaction and decrease the solubility. During actual processing, the temperature of the specimen/wafer/workpiece and the pressure of the fluid inevitably change. Such changes can lead to precipitation, liquefaction, condensation, and even re-dissolution of the precursor. Condensation and precipitation occur preferentially in concave nanofeatures, and once the condensation occurs, the condensed phase will not easily re-dissolve into the supercritical CO2. The condensed phase finally converts to a solid under proper reaction chemistry, resulting in nano-filling.

It should be noted that the above phenomena are unique and distinct characteristics of supercritical CO2 and do not occur in gas or liquid processes. These characteristics can be observed in terms of nano-deposition and are not obvious or common, even to researchers who work with supercritical fluids. Unique physicochemical properties of supercritical fluids open possibilities of completely novel nanofabrication technologies.

Advertisement

6. Summary

This chapter described the leading principles of the formation of high quality, high aspect ratio, and three-dimensional wiring and interconnects for MEMS and LSI applications using supercritical CO2. The unique characteristics of supercritical CO2 allow it to meet future requirements for increased complexity, miniaturization, and scale divergence of MEMS components.

Common sense as applied in semiconductor wet and dry processes is not applicable in designing supercritical CO2 processes. There is a lot of room for the development of true novel processes and processors. The future development of supercritical fluid processing must involve rethinking the pros and cons of existing dry and wet processes.

References

  1. 1. Noyce R. Semiconductor device-and-lead structure. U.S. Patent 2,981,877; 1964
  2. 2. Namatsu H. Dimensional limitations of silicon nanolines resulting from pattern distortion due to surface tension of rinse water. Applied Physics Letters. 1995;66:2655-2657. DOI: 10.1063/1.113115
  3. 3. Saga K, Hattori T. Wafer cleaning using supercritical CO2 in semiconductor and nanoelectronic device fabrication. Solid State Phenomena. 2008;134:97-103. DOI: 10.4028/www.scientific.net/SSP.134.97
  4. 4. Span R, Wagner W. A new equation of state for carbon dioxide covering the fluid region from the triple-point temperature to 1100 K at pressures up to 800 MPa. Journal of Physical and Chemical Reference Data. 1996;25:1509-1596. DOI: 10.1063/1.555991
  5. 5. Watkins JJ, McCarthy TJ. Polymerization in supercritical fluid-swollen polymers: A new route to polymer blends. Macromolecules. 1994;27:4845-4847. DOI: 10.1021/ma00095a031
  6. 6. Hirogaki K, Tabata I, Hisada K, Hori T. An investigation of the interaction of supercritical carbon dioxide with poly(ethylene terephthalate) and the effects of some additive modifiers on the interaction. The Journal of Supercritical Fluids. 2005;36:166-172. DOI: 10.1016/j.supflu.2005.05.004
  7. 7. Darr JA, Poliakoff M. New directions in inorganic and metal-organic coordination chemistry in supercritical fluids. Chemical Reviews. 1999;99:495-542. DOI: 10.1021/cr970036i
  8. 8. Zhao X, Hirogaki K, Tabata I, Okubayashi S, Hori T. A new method of producing conductive aramid fibers using supercritical carbon dioxide. Surface and Coating Technology. 2006;201:628-636. DOI: 10.1016/j.surfcoat.2005.12.021
  9. 9. Ohnuki H, Si S, Takaku S, Hori T. Increased peel strength of copper plating using supercritical fluid methods for epoxy printed circuit boards. Fiber. 2011;67:245-252. DOI: 10.2115/fiber.67.245
  10. 10. Rosolovsky J, Boggess RK, Rubira AF, Taylor LT, Stoakley DM, St. Clair AK. Supercritical fluid infusion of silver into polyimide films of varying chemical composition. Journal of Materials Research. 1997;12:3127-3133. DOI: 10.1557/JMR.1997.0408
  11. 11. Silvestri G, Gambino S, Filardo G, Cuccia G, Guarino E. Electrochemical processes in supercritical phases. Angewandte Chemie, International Edition. 1981;20:101-102. DOI: 10.1002/anie.198101011
  12. 12. Yan H, Sato T, Komago D, Yamaguchi A, Oyaizu K, Yuasa M, et al. Electrochemical synthesis of a polypyrrole thin film with supercritical carbon dioxide as a solvent. Langmuir. 2005;21:12303-12308. DOI: 10.1021/la050806i
  13. 13. Ke J, Su W, Howdle SM, George MW, Cook D, Perdjon-Abel M, et al. Electrodeposition of metals from supercritical fluids. Proceedings of the National Academy of Sciences of the United States of America. 2009;106:14768-14772. DOI: 10.1073/pnas.0901986106
  14. 14. Yoshida H, Sone M, Mizushima A, Abe K, Tao XT, Ichihara S, et al. Electroplating of nanostructured nickel in emulsion of supercritical carbon dioxide in electrolyte solution. Chemistry Letters. 2002;11:1086-1087. DOI: 10.1246/cl.2002.1086
  15. 15. Yoshida H, Sone M, Mizushima A, Yan H, Wakabayashi H, Abe K, et al. Application of emulsion of dense carbon dioxide in electroplating solution with nonionic surfactants for nickel electroplating. Surface and Coatings Technology. 2003;173:295-292. DOI: 10.1016/S0257-8972(03)00734-5
  16. 16. Yan H, Sone M, Sato N, Ichihara S, Miyata S. The effects of dense carbon dioxide on nickel plating using emulsion of carbon dioxide in electroplating solution. Surface and Coating Technology. 2004;182:329-334. DOI: 10.1016/j.surfcoat.2003.07.006
  17. 17. Chang T-FM, Tasaki T, Ishiyama C, Sone M. Defect-free nickel micropillars fabricated at a high current density by application of a supercritical carbon dioxide emulsion. Industrial and Engineering Chemistry Research. 2011;50:8080-8085. DOI: 10.1021/ie200469e
  18. 18. Shinoda N, Shimizu T, Chang T-FM, Shibata A, Sone M. Cu electroplating using suspension of supercritical carbon dioxide in copper-sulfate-based electrolyte with Cu particles. Thin Solid Films. 2013;529:29-33. DOI: 10.1016/j.tsf.2012.03.089
  19. 19. Chuang H-C, Lai W-H, Sanchez S. An investigation of supercritical-CO2 copper electroplating parameters for application in TSV chips. Journal of Micromechanics and Microengineering. 2015;25(015004):1-11. DOI: 10.1088/0960-1317/25/1/015004
  20. 20. Kondoh E, Kato H. Characteristics of copper deposition in a supercritical CO2 fluid. Microelectronic Engineering. 2002;64:495-499. DOI: 10.1016/S0167-9317(02)00826-2
  21. 21. Hunde ET, Watkins JJ. Reactive deposition of cobalt and nickel films from their metallocenes in supercritical carbon dioxide solution. Chemistry of Materials. 2004;16:498-503. DOI: 10.1021/cm034433n
  22. 22. Watanabe M, Osada K, Kondoh E, Okubo S, Hikata T, Nakayama A. Nickel filling in nanofeatures using supercritical fluid and its application to fabricating a novel catalyst structure for continuous growth of nanocarbon fibers. APL Materials. 2014;2(100701):1-5. DOI: 10.1063/1.4897962
  23. 23. Fernandes NE, Fisher SM, Poshusta JC, Vlachos DG, Tsapatsis M, Watkins JJ. Reactive deposition of metal thin films within porous supports from supercritical fluids. Chemistry of Materials. 2001;13:2023-2031. DOI: 10.1021/cm000837t
  24. 24. Watanabe M, Akimoto T, Kondoh E. Synthesis of platinum-ruthenium alloy nanoparticles on carbon using supercritical fluid deposition. ECS Journal of Solid State Science and Technology. 2013;2:M9-M12. DOI: 10.1149/2.020301jss
  25. 25. Kondoh E. Deposition of Ru thin films from supercritical carbon dioxide fluids. Japanese Journal of Applied Physics. 2005;44:5799-5802. DOI: 10.1143/JJAP.44.5799
  26. 26. Uchida H, Otsubo A, Itatani A, Koda S. Low-temperature deposition of polycrystalline titanium oxide thin film on Si substrate using supercritical carbon dioxide fluid. Japanese Journal of Applied Physics. 2005;44:1901-1906. DOI: 10.1143/jjap.44.1901
  27. 27. Lee H-B-R, Hwang I, Kim J-M, Kim H. Supercritical fluid deposition of SiO2 thin films: Growth characteristics and film properties. Journal of the Electrochemical Society. 2012;159:D46-D49. DOI: 10.1149/2.031202jes
  28. 28. Kondoh E, Sasaki K, Nabetani Y. Deposition of zinc oxide thin films in supercritical carbon dioxide solutions. Applied Physics Express. 2008;1(061201):1-3. DOI: 10.1143/apex.1.061201
  29. 29. Barua D, Gougousi T, Young ED, Parsons GN. Supercritical-carbon dioxide-assisted cyclic deposition of metal oxide and metal thin films. Applied Physics Letters. 2006;88(092904):1-3. DOI: 10.1063/1.2181651
  30. 30. Gougousi T, Chen Z. Deposition of yttrium oxide thin films in supercritical carbon dioxide. Thin Sold Films. 2008;516:6197-6204. DOI: 10.1016/j.tsf.2007.11.104
  31. 31. Zhao Y, Jung K, Shimoyama Y, Shimogaki Y, Momose T. Conformal bismuth titanate formation using supercritical fluid deposition. ECS Journal of Solid State Science and Technology. 2017;6:P483-P488. DOI: 10.1149/2.0011708jss
  32. 32. Lee JH, Son JY, Lee H-B-R, Lee SH, Ma D-J, Lee C-S, et al. Supercritical fluid deposition of conformal SrTiO3 films with composition uniformity in nanocontact holes. Electrochemical and Solid-State Letters. 2009;12:D45-D47. DOI: 10.1149/1.3092891
  33. 33. Kondoh E, Tamegai Y, Watanabe M, Jin L. Selective Cu filling of nanopores using supercritical carbon dioxide. Japanese Journal of Applied Physics. 2015;54(05EA02):1-4. DOI: 10.7567/jjap.54.05ea02
  34. 34. Momose T, Sugiyama M, Kondoh E, Shimogaki Y. Conformal deposition and gap-filling of copper into ultranarrow patterns by supercritical fluid deposition. Applied Physics Express. 2008;1:1-3. DOI: 10.1143/apex.1.097002
  35. 35. Ye X-R, Lin Y, Wang C, Engelhard MH, Wang Y, Wai CM. Supercritical fluid synthesis and characterization of catalytic metal nanoparticles on carbon nanotubes. Journal of Materials Chemistry. 2004;14:908-913. DOI: 10.1039/B308124A
  36. 36. Watanabe M, Takeuchi Y, Ueno T, Kondoh E, Yamamoto S, Kikukawa N, et al. Cu coating inside small (15 μm) and ultrahigh-aspect-ratio (>130) through-holes using supercritical CO2 fluid. Japanese Journal of Applied Physics. 2014;53(05GA08):1-7. DOI: 10.7567/JJAP.53.05GA08
  37. 37. Matsubara M, Kondoh E. Coverage of copper thin films deposited on the sidewall of through-silicon vias using supercritical fluids. Hyomen Gijutsu/Journal of the Surface Finishing Society of Japan. 2009;60:533-539. DOI: 10.4139/sfj.60.533

Written By

Eiichi Kondoh

Submitted: 08 May 2018 Reviewed: 23 September 2018 Published: 15 November 2018