Open access peer-reviewed chapter

Indium (In)-Catalyzed Silicon Nanowires (Si NWs) Grown by the Vapor–Liquid–Solid (VLS) Mode for Nanoscale Device Applications

Written By

M. Ajmal Khan and Yasuaki Ishikawa

Submitted: 13 June 2018 Reviewed: 14 April 2021 Published: 19 May 2021

DOI: 10.5772/intechopen.97723

From the Edited Volume

Nanowires - Recent Progress

Edited by Xihong Peng

Chapter metrics overview

367 Chapter Downloads

View Full Metrics

Abstract

Stacking fault free and planar defects (twin plane) free catalyzed Si nanowires (Si NWs) is essential for the carrier transport in the nanoscale devices applications. In this chapter, In-catalyzed, vertically aligned and cone-shaped Si NWs arrays were grown by using vapor–liquid–solid (VLS) mode on Si (111) substrates. We have successfully controlled the verticality and (111)-orientation of Si NWs as well as scaled down the diameter to 18 nm. The density of Si NWs was also enhanced from 2.5 μm−2 to 70 μm−2. Such vertically aligned, (111)-oriented p-type Si NWs are very important for the nanoscale device applications including Si NWs/c-Si tandem solar cells and p-Si NWs/n-InGaZnO Heterojunction LEDs. Next, the influence of substrate growth temperature (TS), cooling rate (∆TS/∆𝑡) on the formation of planar defects, twining along [112] direction and stacking fault in Si NWs perpendicular to (111)-orientation were deeply investigated. Finally, one simple model was proposed to explain the formation of stacking fault, twining of planar defects in perpendicular direction to the axial growth direction of Si NWs. When the TS was decreased from 600°C with the cooling rate of 100°C/240 sec to room temperature (RT) after Si NWs growth then the twin planar defects perpendicular to the substrate and along different segments of (111)-oriented Si NWs were observed.

Keywords

  • silicon nanowires (Si NWs)
  • VLS growth mode
  • contact angle
  • vertically aligned
  • In-catalyst
  • twining plane defects
  • stacking fault
  • nanoscale devices
  • solar cells

1. Introduction

Recently much interest has been developed to control the band gap as a function of diameter of Si nanowires (Si NWs) to exploit the quantum size effect for photovoltaic applications [1, 2, 3, 4, 5], and its extension according to Moore’s law in view of the ongoing downscaling of integrated circuits (ICs) technologies as well as nano devices. Specially Si NWs are remarkably important for the fabrication of nanoscale devices such as transistors [6], sensors [7, 8], and thermoelectric devices [9]. Vapor–liquid–solid (VLS) mechanism provides a unique opportunity to investigate the crystalline quality and structures of single NW, where density, orientation, and periodicity of Si NWs system can be influenced by growth parameters such as temperature, pressure, plasma treatment, dopants [10, 11, 12], and the type of catalyst [13, 14], surface condition of substrate as well as size [15] of the metal Nano-droplets (NDs), shown in Figure 1. Si NWs growth by VLS mode using various material catalysts, such as Au, Al, Ga, In, Pb, Sn and Zn have been reported [16, 17, 18, 19, 20, 21, 22, 23, 24, 25].

Figure 1.

Schematic flow mechanisms of In-catalyzed Si NWs grown by VLS growth mode.

Many researchers already grown vertically aligned Si NWs using Au-catalyst, which is not useful candidate for the application of nanoscale devices including solar cell and LEDs because it creates deep acceptor energy level at 0.54 eV in the Si band gap, whereas In-catalyst creates shallow accepter energy level at 0.16 eV in the Si band gap. Au-catalyst particles are strongly degrading the minority carrier life time, while In-catalyst particles are boosting to the carrier life time [26]. Previously, randomly oriented Si NWs were grown by Jeon and Kamisako et al. using different type of catalysts including In-metal in the VLS growth mode [27, 28, 29]. Usually, VLS grown Si NWs system shows complex faceting [30] including hexagonal structure [31]. Ordered arrays of planar faults were reported by Ohno et al. which resulted in new phases and properties of well-known materials [32]. Several researchers reported about the twinning and generation of polytype defects and their control in III-V materials based NWs [11, 12]. Generation of polytype defects in group IV-semiconductors were less explored [13, 33]. In Si NWs system {111} planar faults were confirmed along the growth axis of ⟨112⟩-orientation [14]. Such twin planar faults along a ⟨111⟩ direction, are considered as a stacking faults in the ABC stacking sequence. Ultimately, this arrangement gives rise to some local hexagonal ordering, for example, ABA, and leading to polytypes. It was also observed that isolated defects of these {111} faults of NWs can trap to the Au (gold)-catalyst atoms [34]. Such arrangements and characteristics have significant influence on the impurity distributions, electrical and optical properties of the Si NWs based nano-devices.

The defects study like twin planar defects as well as stacking fault were not rigorously investigated in the case of vertically aligned In-catalyzed (111)-oriented Si NWs. However, relatively very few investigations have been made about the stacking fault and twin planar defects in In-catalyzed Si NWs grown by VLS growth [26]. Zhan et al. reported the numerical study of Si NWs which discuss about the perpendicularly aligned stacking fault layers, the extrinsic stacking fault (eSF) and 9-rhombohedral (9R)-polytype [35]. Large reduction of thermal conductivity in Si NWs was induced by extrinsic stacking fault (eSF) and 9-rhombohedral (9R)-polytype, when compared to the twin boundaries and the intrinsic stacking fault (iSFs) [35]. Some single twin planes and arrays of {111} stacking faults were observed in Au-catalyzed Si NWs grown in the ⟨112⟩ direction [14]. Lopez et al. reported about such structures in Au-catalyzed ⟨111⟩-oriented kinked shaped Si NWs [36]. Such defects were found to be running parallel to the ⟨112⟩ NW axis, and often extending in the entire length of the wire. There is no report about the stacking fault as well as planar defects (twin planar defects) along ⟨112⟩ direction in the case of In-catalyzed, vertically aligned, and (111)-oriented Si NWs grown by VLS mode. Our main objective is to control the verticality of p-type Si NWs for the applications of nano-devices. We also investigate about the single Si NW, whether it contains twining defects, planar defects as well as stacking fault along the growth direction of In-catalyzed Si NWs. Second, we attempted to present one simple model about the root cause of stacking faults formation that are distributed around the Si NWs as well as at the interface of In-NDs/Si-substrate (polytypes). Finally, it was established that the Si NWs height were also restricted by the In-NPs migration from the top of the Si NWs.

Advertisement

2. Experimental methods

The basic mechanism behind the VLS growth mode is the transformation of the solid metal catalyst nanoparticle into a liquid alloy of the catalyst and compound of the semiconductor. In this case the liquid particle acts as a privileged site for Si deposition (precipitation via liquid catalyst), and has higher sticking coefficient as compared to the solid surfaces, shown in the schematic flow mechanism of Figure 1 [37]. Two different type of experiments were conducted to grow Si NWs. First, before the air-breaking condition p-type 300 μm-thick Cz-Si (111) substrate having resistivity of 1–10 Ω-cm, was cleaned by RCA washing. Next, In-NDs were grown on Si (111) substrate, using a conventional thermal evaporation system by evaporating pure In wire with base pressure (PB) of 4.4 × 10−4 Pa. Stranski–Krastanow (SK) growth mode was followed by In-NDs, which is not a two-dimensional growth, but rather gives rise to islands of the In-metal. Subsequently, the In-NDs were thermally annealed in a glass tube furnace at 630°C for 360 min and then treated by a H2 plasma at substrate temperature (TS) of 200°C for 30 min under a pressure of 10 Pa in a sputtering chamber (after air-breaking condition). Finally, Si NWs were grown by a radio frequency (RF) magnetron sputtering after air-breaking condition (sample-Na) at TS = 630°C under pressure of 1 Pa for 30 min growth time.

In the next experiment everything was grown in the same sputtering chamber (without air-breaking condition). First, 300 μm-thick p-type Cz-Si (111) substrate, having resistivity ~1–10 Ω-cm was washed by RCA washing. Soon after RCA washing and drying the wafer was transferred to the plasma assisted and high vacuum sputtering chamber having background pressure, PB ~ 6.0 × 10−6 Pa. Secondly, the In-atoms were deposited on Si substrate by In-sputtering target at room temperature (RT) under working pressure of 3 Pa for 20 min. Thirdly, the as-grown In-Islands sample was treated by H2-plasma (200 sccm) in Ar (20 sccm) environment, where substrate temperature was set to 600°C, for 3 min. The heating rate of the substrate was kept 10°C/min to get self-organized and well defined In-NDs on the substrate before the Si NWs growth. Finally, the substrate temperature was set to 600°C, assisted by H2-plasma (200 sccm) in Ar (20 sccm) for 60 min under working pressure of 10 Pa and Si NWs were successfully grown (sample-Nw).

The interface scenario between In-NDs and Si (111), surface morphologies, shape, density, and contact angle (θC) of In-NDs on Si-substrate were observed by high resolution scanning electron microscopy (HR-SEM). Crystal structure, i.e., cross-section, different planar defects and twining of planar defects in the entire Si NWs were observed, via selected-area of electron diffraction (SAED) as well as via cross-sectional view by high-resolution transmission electron microscope (HR-TEM, Model: H-9000NAR). Migration and trapping of In-Nanoparticles (NPs) on the side wall of Si NWs were deeply investigated by energy-dispersive X-ray spectroscopy (EDX) and dark field scanning of scanning-TEM (STEM).

Advertisement

3. Results and discussion

Indium metal has a low melting point, and the eutectic temperature of the In–Si binary system coincides with the melting temperature of indium at 157°C [20, 38]. It is also worthy to note that the In–Si eutectic alloy exhibits a steep liquidus line, such that the liquid alloy can promptly be supersaturated with Si in a wide range of temperatures (at least up to 800°C) and In-Si eutectic alloy has an extremely low Si solubility approximately ∼10−4 at.% Si [26].

In this work the In-NDs were grown with the optimized growth condition, where the In-deposition time were increased from 20 min to 30 min in the plasma assisted crystal growth reactor as shown in Figure 2a and b. By decreasing the TS from 600 to 530°C in the high vacuum along with H2-plasma treatment, the diameter of the In-NDs were varied from 45 nm and to 500 nm. However, in some In-NDs, got an exceptionally low contact angle as shown in Figure 2b, which is quite interesting in the context of NWs verticality control for better Si-precipitation via In-NDs. On the other hand, we observed exceedingly high contact angle approximately 140o, as shown in Figure 2a. In this case the liquid NDs acts as a facilitator site for Si deposition (precipitation). It was found that In-NDs have higher sticking coefficient than the solid surfaces [37]. As shown in the schematic view of Figures 1 and 2c, where the supersaturation of the In-NDs, induced by the continuous gas phase supply of Si species (Vapor), leads to the precipitation of Si nanowires (Solid) at the interface of Si-substrate and In-NDs (Liquid). Si NWs growth was initiated, when a steady-state condition between the flux of the Si through the particle and the precipitation of Si on the substrate via In-NDs was reached, shown in Figure 1 [26, 37]. Later the growth condition for Si NWs was improved from sample-Na to sample-Nw. The contact angle along with the In-NDs size together can define the diameter of the Si NWs as depicted in the Figures 2c and 3a,b. It is all about the growth condition as well as growth in the reactor with and without air-breaking. By using HR-SEM, we investigated the interface condition between the In-NDs and the Si substrate prior to the crystal growth of Si NWs, shown in Figure 3a and b. In the case of thermally evaporated In-NDs on Si-substrate, a spherical shaped In-NDs were observed with different sizes in the range of 30–100 nm, as well as with quite large θC of 140°, shown in Figure 3a. The spherical shape of In-NDs (due to low wettability) on the Si substrate can be explained by the interactions mechanism of oxidized In-NDs (In2O3) in the context of surface free energy (Ef) and θC in a qualitative manner on Si substrate in the conventional physical evaporator. Previously, the surface free energy (Ef) for pure In droplet and In2O3, respectively, were found to be 525 mN/m and 500–520 mN/m at 850 K [40]. During the growth of In-NDs in the air-breaking scenario, where the Ef of In-NDs on Si might be reduced due to the presence of the thin oxide layer around the In-NDs on substrate and subsequently increased to the θC up to ~140° of In-NDs on Si substrate, shown in Figure 3a. As a result, extremely low density of vertically aligned (111)-Si NWs at the supersaturation phase were grown, shown in Figure 3c. In the grown Si NWs, one can see quite big used cap of In-NDs on the top of Si NWs. The non-uniform diameter and length of Si NWs ranging for 30–100 nm and 150–200 nm, respectively, were observed by TEM and SEM observations, shown in Figure 3c. It can be speculated that the precipitation of Si atomic migration through the liquid phase of In-NDs to the Si substrate at the eutectic phase might be hindered by the thin oxide layer that exists around the majority of In-NDs and on the Si substrate. This kind of low wettability condition with extremely large θC of In-NDs on Si substrate does not support to the crystal growth of high-density Si NWs, shown in Figure 3c. Due to the small contact area of wet surface of In-NDs on the Si substrate may not be symmetric too, which ultimately give rise to the tilted Si NWs with big In-NPs cap, shown in Figure 3c. The (111)-oriented crystallinity at point “A1” was confirmed by the HR-TEM image (Figure 3e). The SAED at points “A3” and “A2” (shown in the inset of Figure 3e) corresponding to Si NWs and Si substrate, respectively, exhibit a spotty pattern, which indicating that the VLS growth was happened successfully for few Si NWs (with extremely low density of 2.5 μm−2) using crystal growth in the air-breaking condition (sample-Na).

Figure 2.

(a) SEM images of In-NDs (high contact angle) grown by sputtering of In-target at RT, for 20 min and then treated by plasma treatment at 530°C for 3 min, (b) SEM images of In-NDs (low contact angle) grown by sputtering of In-target at RT, for 20 min and then treated by H2-plasma at 600°C for 3 min, and (c) Si precipitation mechanism in the VLS growth mode via well wetted In-NDs to grow Si NWs.

Figure 3.

SEM images of In-NDs grown by sputtering in the reactor, (a) with air-breaking (sample-Na), (b) without air-breaking (sample-Nw). TEM micrograph of Si NWs grown in the reactor, (c) with air-breaking, (d) without air-breaking. HR-TEM micrograph of the as-grown Si NWs (e) taken at point “A1” in the sample-Na, and the SAED pattern taken at point “A3” and “A2” are shown in the inset, and (f) taken at point “B2” in the sample-Nw, and the SAED pattern taken at point “B3” and “B1” are shown in the inset. Figure 3 reproduced with the permission from ref [39]. Copyright 2015 the Royal Society of Chemistry (RSC).

In the second experiment (without air-breaking), we optimized the growth conditions to obtain good interface between In-NDs and Si substrate by eliminating the oxide layer to enhance the wettability. All the in-situ growth steps starting from In-NDs growth, plasma treatment, and up to the crystal growth of Si NWs were performed in a relatively clean and high vacuum chamber without air-breaking (sample-Nw). The θC of In on Si (111) was reported to be approximately 125° at 350°C by Mattila et al. [41] After improving the wettability condition, the θC of In-NDs on Si substrate was remarkably decreased from our previous value of 140° to 80°, shown in the inset of Figure 3b. Ultimately the In-NDs on the Si substrate were suppressed to hemispherical shaped with uniform sizes in the range of 70–100 nm (without air-breaking). The wettability of the In-NDs and increase of the Ef of In-NDs, are attributed to the combined action of the H2-plasma treatment at TS of 600°C, and clean growth environment by performing the entire growth process in the same chamber (without air-breaking). The verticality of (111)-oriented Si NWs was nicely controlled with a uniform top diameter and length, respectively, of approximately 18 nm and 100 nm, shown in Figure 3d and f. The top diameter value approximately ~18 nm of Si NWs is the smallest value ever achieved for the applications of nano-scale devices application. The controllability of the verticality of Si NWs are attributed to the cylindrically symmetric flow of the precipitated Si atoms via highly wettable In-NDs toward the Si substrate contact points at an incredibly low θC, shown in Figure 3df. The density of the grown Si NWs at TS = 600°C was also remarkably enhanced by 28 time from 2.5 μm−2 (sample-Na) to 70 μm−2 (sample-Nw). The Si NWs were found to be cone shaped after tapering, which is caused by the migration of In-NPs from the top of NWs, shown in Figure 3a and b. Based on the HR-TEM observation at point “B2”, the (111)-orientation of Si NWs was confirmed (as shown in the inset of Figure 3f). Also, spotty pattern both in Si NWs and Si substrate at point “B1” and “B3”, respectively, were confirmed by SAED observation (shown in the inset of Figure 3f). Energy dispersive X-ray spectroscopy (EDX) was taken near the cap of the Si NWs to investigate the compositional information. It was found that NW only contains a Si peak, while In was detected for the spheres only. The top diameter value approximately 18 nm was found quite small (without air-breaking) when compared to the previously obtained vertically aligned Si NWs grown with In catalyst in the VLS mode (with air-breaking condition). We strongly need to decrease the tapering phenomena and also to increase the length of the In-catalyzed Si NWs. These are still an open research challenges and it can be speculated that the control of the diameter of In-NDs both at the top and base area might be possible after suppressing the trapping rate of In NPs by Si NWs. Further reduction of In-NDs θC on Si substrate can further enhance the length of NWs. We need to further reduce the size and wettability of In-NDs and then can further reduce the diameter of the vertically aligned Si NWs to realize the quantum size effect for wide band gap applications of solar cells. In-catalyzed Si NWs may be a potential candidate material for nanoscale devices, because of its shallow acceptor levels and its vertical alignment.

Alet et al. grown In-catalyzed crystalline Si NWs at low temperature on Indium doped Tin Oxide (ITO), which were not vertically aligned and detail investigation about the planar as well as stacking fault were not given [42]. In our case a typical cross-section TEM image of the cone like Si NWs arrays grown at 600°C has been shown in Figure 4b. The HR-TEM shown in Figures 3d and 4b shows that the Si NWs have an average diameter of ≅ 18 nm at the top and average diameter≅ 30 nm at the bottom, lengths longer than 100 nm and grow at a right angle with respect to the Si (111) surface as shown in Figures 3df and 4b. The shape of the Si NWs were found to be cone like, and this can be explained by the similar analogy of tapering of the NWs grown by Au-catalyzed [44, 45]. Sharma et al. found that, when NWs elongate from the In-NDs (or In- droplets), the base area of the NWs remains exposed for a longer time to the reactive radicals when compared to the newly grown upper part of the NWs [46]. In the case of Au-catalyzed Si NWs grown in the ⟨112⟩ direction, where single twin planes and arrays of {111} stacking faults were reported [14], whereas Lopez et al. found the same structures for ⟨111⟩-oriented Au-catalyzed grown Si NWs [36]. These defects orientation is parallel to the ⟨112⟩ NW axis, often extending throughout the entire length of the wire. There is no report about the crystalline twining defects, planar defects and stacking fault along ⟨112⟩ direction in case of In-catalyzed vertically aligned, and (111)-oriented Si NWs.

Figure 4.

(a) Cross-sectional TEM image of the grown Si NWs on Si (111), (b) HR-cross-sectional TEM image of an individual Si NW from fig. 4a (In the inset of (b), the corresponding SAED pattern taken along the [110] zone axis at point “P1”, “P2”, “P3”, and “P4” respectively are given). Different planar defects along the axial segments and twining of planar defects has been shown at point S-I and S-II, respectively. Figure 4 reproduced with the permission from ref [43]. Copyright 2016 the Japan Society of Applied Physics (JSAP).

We can see the planar defects as well as twining defects appearing in many segments of the Si NWs (sample-Nw) as shown in the inset of Figure 5b by green color arrow as well as red color arrow along the ⟨112⟩ direction. The (111)-oriented Si NWs at segments “P1–4” were also confirmed by HR-TEM image, as shown in Figure 4b. The corresponding selected area diffraction (SAED) shown in the in-set of Figure 4b has been recorded along the [110] zone axis from the Si NWs and which confirm the single crystal nature and its axial direction. But you can see twining of planes along ⟨112⟩ direction, which are distributed along the axial direction marked as S-I and S-II, as shown in Figure 4b for single (111)-oriented Si NW.

Figure 5.

(a) High-resolution cross-sectional TEM image around the Si-substrate and deposited In-NDs surrounded by Si NWs at the interface, (b) the corresponding SAED pattern taken along the [11¯0] zone axis at point “P5” and “P6” respectively. In the inset of (b) is a SAED along [1¯10] zone axis at point “P5”, where the red arrow intensity originates from 1/2{111} spots associated with the 2H polytype and the green intensity is originating from 1/3{111} spots associated with 9R stacking. Figure 5 reproduced with the permission from ref [43]. Copyright 2016 the Japan Society of Applied Physics (JSAP).

It can be established that the HR-TEM image of single Si NWs along with the SAED at “P1” and “P3” of Si NWs (taken from Figure 5b), marked by green color arrow, follow the same crystallinity of Si-substrate orientation marked at “P6” in Figure 5b. The diamond structure of the Si NWs with a lattice constant = 0.543 nm was confirmed by HR-TEM image and the SAED pattern at segments “P1” and “P3”, which corresponds to the space group Fd3m grown along the ⟨111⟩ direction. Unfortunately, the SAED at “P2” and “P4” (taken from Figure 4b) of Si NW shows small tilt with respect to orientation of Si-substrate, and the same have been marked by red color arrow, as shown in HR-TEM image given in Figure 5b. Spotty pattern both at “P2” and “P4” segments of the NW were confirmed by SAED observation, as shown in the inset of Figure 5b and same value of small angular tilt of both “P2” and “P4” segments were observed. We concluded that the Si NWs are vertically aligned except the twining of planar defects, which might be caused by the faster cooling rate 100°C/6 min, as well as due to the longer exposer time of downside wall to the reactive radicals as compared to the upper side of Si NWs [46]. We have to consider about the thermal conductivity mechanism, which is different for Si NWs as compared to the bulk Si-wafer [35].

Here we focus to discuss about the Si NWs crystallinity at the interface of emanating Si NWs from the Si-substrate, as shown in Figure 5a. Figure 5b gives the SAED pattern at point “P5”, which confirm the stacking fault in the grown Si NWs. The red arrow intensity originates from 1/2{111} spots is related to the 2H-polytype (stacking ABAB…) and the green arrow intensity originates from 1/3{111} spots is related to 9R (stacking ABCBCACAB…) [47].

Such structures are either attributed to scattering phenomena from two overlapping crystals with a stepped {111} twin boundary (parallel to the electron beam) [48, 49], or it might be the direct evidence of a 9R-polytype [23]. Furthermore, it has been established, when the crystallographic direction of the lattice abruptly changes in the In-Si material system then stacking fault may generated. Especially, when two crystals parts begins to grow separately and then meet at certain point, where the crystallographic direction remains the same, but each side of the boundary has an opposite phase. These kinds of stacking fault can be formed due to the complex dynamics of the In-NPs migration as well as mixing of the Si-atoms from the top of the Si NWs as well as precipitated Si atoms too. Such complex scenario will be explained later with the help of model given in Figure 7.

We realized that the In-NPs migration from the top of Si NWs toward the unused In-NDs and Si-substrate interface, where Si NWs are emanating from Si-substrate may cause to the stacking fault. We also know that an isolated defect like {111} faults have been observed to trap Au-atoms [34]. Therefore one cannot negate the possibility of In-atoms trapping by the planar defects of Si NWs during the VLS mode growth. Figure 6a gives the dark field-STEM image of the Si NWs, where one can clearly see the white spherical contrast of In-NPs around the side wall of the Si NWs. The compositional investigation by EDX taken at top of the Si NWs as well as taken from the pure In-NPs around the side wall of the Si NWs has been shown in the Figure 6b. The Kα X-ray energies for the In is 24.21 keV, and Lα X-ray energies for the same elements is 3.287 keV. Lα lines of the In-atoms can be separated, and this technique can be quantitatively used in a SEM. As shown in Figure 6c and d, we observed a reasonable mapping of Si and In sources, which originate from the Si NWs top and from the pure spherical In-NPs on the side wall of the Si NWs structure, respectively. The same phenomenon for Au NPs on the side of the Si NWs was observed by Krylyuk et al. [44]. Migration of In-NDs from the top of NWs was observed and confirmed by both dark field and bright field-STEM images. The coalescence of the migrated In-NPs with the unused In-NDs having high contact angle may resulted in relatively large size (~ 500 nm) of In-island as shown in the red color dotted marked line in Figure 6a and d, respectively. The generation mechanism will be explained in the proposed model given in Figure 7. The reason of the In-NPs migration from the top of the Si NWs toward the Si-substrate during the crystal growth of Si NWs may not be clearly known yet but we can anticipate the mechanism of In-NPs coalescence with In-NDs and mixing with precipitated Si-atoms via proposed model shown in Figure 7.

Figure 6.

(a) Dark-field-STEM images of Si NWs along the [11¯] zone axis to show the In-NPs as a white spherical contrast on the side wall of the Si NWs, (b) Elemental analysis of sample-Nw, (c) EDX intensity mapping of pure Si NWs, and (d) EDX intensity mapping of precipitated In-composition. Figure: 6 reproduced with the permission from ref [43]. Copyright 2016 The Japan Society of Applied Physics (JSAP).

Figure 7.

Schematic overview of the proposed model to explain step by step about the In-NPs migration from the top of the Si NWs and tapering as well as stacking fault formation in Si NWs, which in return restricted the length of the Si NWs. Figure 7 is reproduced with the permission from ref [43]. Copyright 2016 the Japan Society of Applied Physics (JSAP).

The In-catalyzed Si NWs grown by VLS mechanism confronted with planar defects, twining and stacking fault, which were observed by HR-STEM, as shown in Figures 4a,b and 5a,b. To explain about the In-NPs migration to Si-substrate, Si NWs tapering, stacking fault, as well as limiting of NWs length by In-NPs migration, one simple model was anticipated. In this model, as a first step (Step-I), the In-NPs on Si(111), investigated, where most of the In-NDs got good wettability after Ar/H2-plasma treatment at 600°C with semispherical shape and few In-NDs were got spherical shape as shown in Figure 2a as well as in Figure 3a and the same is depicted schematically in Figure 7, Step-I. The spherical shape In-NDs may be confronted with thin oxide problems at the interface, shown in Figure 7 (Step-I). During the Si NWs growth as a second step (Step-II) we observed that the In-NPs migration from the top of Si NWs toward the Si-substrate are taking place and mixing with already grown In-NDs having thin oxide interface layer between Si-substrate and In-NDs, as shown in Figure 6ad and the same is depicted schematically in Figure 7. Due to the migration of In-NPs toward the Si-substrate some new contact points on Si-substrate were created and the already In-NDs size were enhanced or elongated.

The supersaturation of the droplet, induced by the continuous gas phase supply of Si species, leads to the precipitation of Si nanowhiskers at the interface between the particle and the substrate, shown in Figure 1. Growth is obtained, as shown in Figure 7, Step-II, when a steady-state condition is reached between the flux of the Si through the In-NDs and the precipitation of Si on the Si-substrate [26, 37]. At the same time Si-atoms from sputtering source are also adsorbed on the same surface of In-NDs already deposited In-NDs on substrate having weak oxide interface layer, where the super saturation limit may be exceeded and now the precipitation of In-NPs were initiated as depicted in Figure 7, Step-II and the same has been confirmed in Figure 6ad. Previously, the boron precipitation limit in BaSi2 were studied by in-plane and out of plane XRD, HR-STEM and TEM measurement and then successfully overcome the boron precipitation issue in bulk thin film p-BaSi2 layer [50, 51]. Altogether XRD out of-plane and in-plane characterization, SEM and HR-STEM observation could be a useful approach to investigate the precipitation of In-NPs in Si NWs. In Step-III, tapering of NWs are started due to the decreasing of In-NDs size at the top of Si NWs, which is caused by the In-NPs migration/trapping from the top of the Si NWs as well as due to the longer exposer time of downside wall to the reactive radicals as compared to the upper side of Si NWs, as shown in Figure 6a and c [46]. At the same time the Si atoms adsorption by In-NDs are increasing because the In-NDs at the top of the Si NWs are decreasing. During such situation, the adsorbed Si-atoms by In-NPs cannot be precipitated to grow Si NWs due the partial oxide thin layer between the In-NDs and Si-substrate but instead support to sidewise expansion of the two neighboring In-NDs, shown in Figure 6ad and the same has been depicted in Step-III, of Figure 7. At last, the two In-NDs coalesce on the surface of Si-substrate surrounded by Si NWs, as depicted in schematically in Step-III of Figure 7. Also, the deposition of the Si-atoms is increasing and at the same time the In-NPs migration are decreasing, which initiated the stacking fault shown in the inset of Figures 4a,b and 5a,b. In Step-IV, finally the In-NPs migration is stopped as shown in Figure 6a as well as depicted in Figure 7. Subsequently, highly precipitated of In-NPs/NDs in the liquid phase are mixed with Si-atoms then finally give rise to stacking fault at the Si-substrate surrounded by the neighboring Si NWs and the same has been confirmed in the inset of Figure 5b at “P5”. Probably at this situation the sticking coefficient of Si-atoms are reduced, and no further Si-atoms can be absorbed by In-NDs island. This is the time where all In-NDs at the top of Si NWs might be disappeared and give rise to stacking fault on the surface of Si-substrate surrounded by Si NWs, shown in Figure 6a as a white contrast (red dotted line). As a result, Si NWs growth were stopped, and we can safely say that the tapering of the Si NWs and length of the Si NWs were restricted by In-NDs disappearance or migration from the top of the In-catalyzed Si NWs.

Suppression of the In-NPs migration from the top of the Si NWs are essential to grow longer Si NWs as well as to avoid NWs tapering and also to fix the stacking fault in Si NWs. We need to find new growth condition to suppress the In-NPs migration to find the lower optimal substrate temperature without compromising on the verticality control of Si NWs. The ultra-clean interface between Si-substrate and In-NDs is essential to get smaller θC of the In-NDs to increase its wettability on Si-substrate, without any oxidation issues prior to Si NWs growth. We have to try with longer Ar/H2 plasma treatment time, by using low plasma power to avoid any kind of surface damage to the Si-substrate to further reduce the θC. Our new growth condition can be used to grow vertically aligned Si NWs using In-catalyzed in VLS mode for many electronic and nano device applications [52]. Solar cell architecture of the 4-terminal based wide bandgap top cell (Si NWs) and narrow bandgap bottom cell for best matching efficiency with 10% Ge in SiGe active layer is possible, where the photocurrent limits under the solar spectrum for varying band gap of SiGe materials due to the Ge composition for bottom Heterojunction solar cell applications can be achieved [53]. Heterojunction light-emitting diodes (LEDs) comprising p-type Si nanowires (p-Si NWs) and n-type indium gallium zinc oxide (n-IGZO) were successfully fabricated [54]. Band gap energy of Si NWs can be controlled around 1.7 eV by changing the diameter of the NW [3]. Quite high conversion efficiency around 30% is expected in the Si NWs/c-Si tandem solar cells structure, as shown in Figure 8.

Figure 8.

Schematic Si NWs/c-Si tandem solar cells with expected efficiency of 30% (1sun).

Advertisement

4. Summary

Using In-catalyzed VLS mode growth, we have successfully controlled the verticality and (111)-orientation of Si NWs and ultimately scaled down the diameter of NWs to 18 nm. The density of vertically aligned Si NWs was enhanced from 2.5 μm−2 to 70 μm−2. During the in situ sequential deposition of In-NDs catalyzed Si NWs in high vacuum environment has successfully blessed us with vertically aligned and (111)-oriented Si NWs arrays using VLS mode. Using the HR-TEM, HR-SEM, and EDX, the planar defects as well as twining defect structure, which is grown perpendicularly to the Si-substrate (along ⟨112⟩ Si-NW direction) to the axial direction (111)-orientation in many parts of the individual Si NWs were found. Stacking fault were confirmed, where the intensity originates from 1/2{111} spots associated with the 2H polytype and also the intensity originates from 1/3{111} spots associated with 9R stacking in the emanating NW crystal structure at the interface of Si-substrate. These kinds of studies could greatly influence the future understanding about the phase purity, crystallinity and controlled growth of In-catalyzed Si NWs, especially the length and periods of vertically aligned Si NWs for the Nano device applications including solar cells and LEDs.

Advertisement

Acknowledgments

This work was partially supported by the MEXT, FUTURE-PV Innovation (FUkushima Top-level United Center for Renewable Energy Research–Photovoltaics Innovation) Project.

References

  1. 1. R. P. Feynman: Engineering and Science 23 (1960) 22.
  2. 2. Y. Kurokawa, S. Kato, Y. Watanabe, A. Yamada, M. Konagai, Y. Ohta, Y. Niwa, and M. Horita: Jpn. J. Appl. Phys. 51 (2012) 11PE12.
  3. 3. D. D. D. Ma, C. S. Lee, F. C. K. Au, S. Y. Tong, and S. T. Lee: Science 299 (2003) 1874.
  4. 4. B. Tian, X. Zheng, T. Kempa, Y. Fang, N. Yu, G. Yu, J. Huang, and C. M. Lieber: Nature 449 (2007) 885.
  5. 5. L. Tsakalakos, J. Balch, J. Fronheiser, B. A. Korevaar, O. Sulima, and J. Rand: Appl. Phys. Lett. 91 (2007) 233117.
  6. 6. T. Ernst: Science 340 (2013) 1414.
  7. 7. Z. Li, Y. Chen, X. Li, T. I. Kamins, K. Nauka, and R. S. Williams: Nano Lett. 4, (2007) 245.
  8. 8. Y. Cui, Q . Wei, H. Park, and C. M. Lieber: Science 293 (2001) 1289.
  9. 9. A. I. Hochbaum, R. Chen, R. D. Delgado, W. Liang, E. C. Garnett, M. Najarian, A. Majumdar, and P. Yang: Nature 451 (2008) 163.
  10. 10. R. E. Algra, M. A. Verheijen, M. T. Borgström, L.-F. Feiner, G. Immink, W. J. P. van Enckevort, E. Vlieg, and E. P. A. M. Bakkers: Nature 456 (2008) 369.
  11. 11. P. Caroff, K. A. Dick, J. Johansson, M. E. Messing, K. Deppert, and L. Samuelson: Nat. Nanotechnol. 4 (2009) 50.
  12. 12. A. Fissel, E. Bugiel, C. R. Wang, and H. J. Osten: Mater. Sci. Eng: B 134 (2006) 138.
  13. 13. J. Arbiol, A. Fontcuberta i Morral, S. Estradé, F. Peiró, B. Kalache, P. Roca i Cabarrocas, and J. R. Morante: J. Appl. Phys. 104 (2008) 064312.
  14. 14. F. M. Davidson, III, D. C. Lee, D. D. Fanfair, and B. A. Korgel: J. Phys. Chem. C 111 (2007) 2929.
  15. 15. Z. W. Wang and Z. Y. Li: Nano Lett. 9 (2009) 1467.
  16. 16. S. K. Srivastava, D. Kumar, P. K. Singh, and V. Kumar: Proc. 34th IEEE Photovoltaic Specialists Conference, 2009, p. 1851.
  17. 17. R. S. Wagner and W. C. Ellis: Appl. Phys. Lett. 4 (1964) 89.
  18. 18. S. Iijima: Nature 354 (1991) 56.
  19. 19. M. S. Jeon and K. Kamisako: Mater. Lett. 62 (2008) 3903.
  20. 20. R. W. Olesinski and G. J. Abbaschian: Bulletin of Alloy Phase Diagrams 5 (1984) 273.
  21. 21. E. I. Givargizov: J. Cryst. Growth 31 (1975) 20.
  22. 22. Y. Wang, V. Schmidt, S. Senz, and U. Gösele: Nat. Nanotechnol. 1 (2006) 186.
  23. 23. M. K. Sunkara, S. Sharma, R. Miranda, G. Lian, and E. C. Dickey: Appl. Phys. Lett. 79 (2001) 1546.
  24. 24. J. Zhang, F. Jiang, Y. Yang, and J. Li: J. Cryst. Growth 307 (2007) 76.
  25. 25. J.-Y. Yu, S.-W. Chung, and J. R. Heath: J. Phys. Chem. B 104 (2000) 11864.
  26. 26. F. Iacopi, P. M. Vereecken, M. Schaeker, M. Caymax, N. Moelans, B. Blanpain, O. Richard, C. Detavemier, and H. Griffiths: Nanotechnol. 18 (2007) 505307.
  27. 27. M. Jeon and K. Kamisako: J. Nanosci. Nanotechnol. 8 (2008) 5188.
  28. 28. M. Jeon, Y. Tomitsuka, and K. Kamisako: J. Ind. Eng. Chem. 14 (2008) 836.
  29. 29. M. Jeon and K. Kamisako: J. Alloys Compd. 476 (2009) 84.
  30. 30. T. David, D. Buttard T. Schulli, F. Dalhüin, and P. Gentile: Surf. Sci. 602 (2008) 2675.
  31. 31. F. Li, P. D. Nellist, and D. J. H. Cockayne: Appl. Phys. Lett. 94 (2009) 263111.
  32. 32. Y. Ohno, N. Yamamoto, K. Shoda, and S. Takeda: Jpn. J. Appl. Phys. 46 (2007) L830.
  33. 33. H. Hibino, K. Sumitomo, and T. Ogino: J. Vac. Sci. Technol. A 16 (1998) 1934.
  34. 34. J. E. Allen, E. R. Hemesath, D. E. Perea, J. L. Lensch-Falk, Z. Y. Li, F. Yin, M. H. Gass, P. Wang, A. L. Bleloch, R. E. Palmer, and L. J. Lauhon: Nat. Nanotechnol. 3 (2008) 168.
  35. 35. H. F. Zhan, Y. Y. Zhang, J. M. Bell, and Y. T. Gu: J. Phys. D: Appl. Phys. 47 (2014) 015303.
  36. 36. F. J. Lopez, E. R. Hemesath, and L. J. Lauhon: Nano Lett. 9 (2009) 2774.
  37. 37. A. P. Levitt: 1970 Whisker Technology (Wiley, New York, 1970) pp. 47-119.
  38. 38. T. B. Massalski: Binary Alloy Phase Diagrams (Metals Park, Ohio, American Society for Metals, 1990) 2nd ed., pp. 505-763.
  39. 39. M. Ajmal Khan, Y. Ishikawa, I. Kita, K. Fukunaga, T. Fuyuki, and M. Konagai: J. Mater. Chem. C 3 (2015) 11577.
  40. 40. E. Ricci, T. Lanata, D. Giuranno, and E. Arato: J. Mater. Sci. 43 (2008) 2971.
  41. 41. M. Mattila, T. Hakkarainen, H. Lipsanen, H. Jiang, and E. I. Kauppinen: Appl. Phys. Lett. 89 (2006) 063119.
  42. 42. P.-J. Alet, L. Yu, G. Patriarche, S. Palacin, and P. Roca i Cabarrocas: J. Mater. Chem. 18 (2008) 5187.
  43. 43. M. Ajmal Khan, Y. Ishikawa, I. Kita, A. Tani, H. Yano, T. Fuyuki, and M. Konagai: Jpn. J. Appl. Phys. 55 (2016) 01AE03.
  44. 44. S. Krylyuk, A. V. Davydov, and L. Levin: ACS Nano 5 (2011) 656.
  45. 45. J. B. Hannon, S. Kodambaka, F. M. Ross, and R. M. Tromp: Nature 440 (2006) 69.
  46. 46. S. Sharma and M. K. Sunkara: Nanotechnol. 15 (2004) 130.
  47. 47. H. Cerva: J. Mater. Res. 6 (1991) 2324.
  48. 48. C. Cayron, M. D. Hertog, L. Latu-Romain, C. Mouchet, C. Secouard, J.-L. Rouviere, E. Rouviere, and J.-P. Simonato: J. Appl. Cryst. 42 (2009) 242.
  49. 49. H. Bender, A. De Veirman, J. Van Landuyt, and S. Amelinckx: Appl. Phys. A: Mater. Sci. Process 39 (1986) 83.
  50. 50. M. Ajmal Khan, K. O. Hara, K. Nakamura, W. Du, M. Baba, K. Toh, M. Suzuno, K. Toko, N. Usami, and T. Suemasu: J. Cryst. Growth 378 (2013) 201.
  51. 51. M. Ajmal Khan, K. Nakamura, W. Du, K. Toko, N. Usami, and T. Suemasu: Appl. Phys. Lett. 104 (2014) 252104.
  52. 52. M. Ajmal Khan, P. Sichanugrist, S. Kato, and Y. Ishikawa: Energy. Sci. Eng. 4 (2016) 383.
  53. 53. M. Ajmal Khan R. Sato, K. Sawano, P. Sichanugrist, A. Lukianov, and Y. Ishikawa: J. Phys. D: Appl. Phys. 51 (2018) 185107.
  54. 54. Y. C. Kim, S. J. Lee, and J.-M. Myoung: J. Phys. Chem. C 121 (2017) 6788.

Written By

M. Ajmal Khan and Yasuaki Ishikawa

Submitted: 13 June 2018 Reviewed: 14 April 2021 Published: 19 May 2021