Open access peer-reviewed chapter

Gate-All-Around FETs: Nanowire and Nanosheet Structure

Written By

Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Junjong Lee and Rock-Hyun Baek

Submitted: 16 June 2020 Reviewed: 16 September 2020 Published: 30 October 2020

DOI: 10.5772/intechopen.94060

From the Edited Volume

Nanowires - Recent Progress

Edited by Xihong Peng

Chapter metrics overview

1,608 Chapter Downloads

View Full Metrics

Abstract

DC/AC performances of 3-nm-node gate-all-around (GAA) FETs having different widths and the number of channels (Nch) from 1 to 5 were investigated thoroughly using fully-calibrated TCAD. There are two types of GAAFETs: nanowire (NW) FETs having the same width (WNW) and thickness of the channels, and nanosheet (NS) FETs having wide width (WNS) but the fixed thickness of the channels as 5 nm. Compared to FinFETs, GAAFETs can maintain good short channel characteristics as the WNW is smaller than 9 nm but irrespective of the WNS. DC performances of the GAAFETs improve as the Nch increases but at decreasing rate because of the parasitic resistances at the source/drain epi. On the other hand, gate capacitances of the GAAFETs increase constantly as the Nch increases. Therefore, the GAAFETs have minimum RC delay at the Nch near 3. For low power applications, NWFETs outperform FinFETs and NSFETs due to their excellent short channel characteristics by 2-D structural confinement. For standard and high performance applications, NSFETs outperform FinFETs and NWFETs by showing superior DC performances arising from larger effective widths per footprint. Overall, GAAFETs are great candidates to substitute FinFETs in the 3-nm technology node for all the applications.

Keywords

  • gate-all-around
  • nanowire
  • nanosheet
  • field-effect transistors
  • fin
  • RC delay
  • parasitic resistance
  • parasitic capacitance

1. Introduction

Gate-all-around (GAA) is a widely-using structure such as logic field-effect transistor (FET) due to its excellent short channel characteristics [1, 2, 3, 4, 5, 6] or its high surface-to-volume ratio [7, 8], 3-D NAND flash memory for bit-cost scalability [9, 10], photodiode due to its waveguide effect [11, 12], and gas sensor due to its high physical fill factor or surface-to-volume ratio [13, 14]. Especially for logic applications, GAAFETs have been introduced by attaining good gate electronics and increasing current drivability under the same active area.

Currently, fin-shaped FETs (FinFETs) have been scaled down to 10-nm node [15] and further to 5-nm node [16] by forming ultra-sharp fin for high current drivability while maintaining gate-to-channel controllability. GAAFETs are possibly showing great potential to substitute FinFETs in the following technology node, and the performance comparisons between FinFETs and GAAFETs have been investigated [3, 4, 5, 6, 17]. But more detailed analysis between FinFETs and GAAFETs is needed to set the device guideline by considering fine TCAD calibration and middle-of-line levels.

Therefore, in this work, DC/AC performances of 3-nm-node GAAFETs were investigated using fully-calibrated TCAD platform. By changing the GAA geometries, we found optimal GAA structure to minimize the RC delay for three different applications such as low power (LP), standard performance (SP), and high performance (HP) applications.

Advertisement

2. Device structure and simulation methods

All the simulation works were performed using Sentaurus TCAD [18]. Drift diffusion transport equations were calculated self-consistently with Poisson and electron/hole continuity equations. Density-gradient model was adopted for the quantum confinement of carriers within the channel. Slotboom bandgap narrowing model was used to consider the doping-dependent energy bandgap. Mobility models include Lombardi for the mobility degradation at the channel/oxide interface, inversion and accumulation layer model for impurity, phonon, and surface roughness scatterings, and low-field ballistic model for quasi-ballistic effects in ultra-short gate length (Lg). Shockley-Read-Hall, Auger, and Hurkx band-to-band tunneling recombination models were adopted. Deformation potential model was used to consider the stress-induced energy bandgap, effective mass, and effective density-of-states. All these physical models were used equivalently in [19, 20].

Figure 1 shows the schematic diagrams of FinFETs and three-stacked GAAFETs. FinFETs have highly-doped punch-through-stopper (PTS) at 2 × 1018 and 4 × 1018 cm−3 for NFETs and PFETs, respectively, in order to prevent the sub-fin leakage currents at off state [21, 22]. GAAFETs, on the other hand, have buried oxide (BOX) layer beneath the source/drain (S/D) regions without PTS so that the bottom leakage currents are completely blocked [1, 23]. Bulk FinFETs can adopt the BOX layer according to [24], but the conventional device structure was considered in this work. S/D doping concentrations of the n-type and p-type devices are 2 × 1020 and 4 × 1020 cm−3, respectively. Interfacial layer (IL), HfO2, and low-k spacer regions have the dielectric constants of 3.9, 22.0, and 5.0, respectively. Contact resistivity at S/D and silicide interface is fixed to 10−9 Ω·cm2 [25]. Equivalent oxide thickness (EOT) is 1.0 nm, which consists of 0.7-nm-thick IL and 1.7-nm-thick HfO2.

Figure 1.

Schematic diagrams of FinFETs and GAAFETs. 2-D cross-sections of nanosheet and nanowire channels were also specified to the right.

Table 1 shows the geometrical parameters and values of 3-nm-node FinFETs and GAAFETs. Contacted poly pitch (CPP) and fin pitch (FP) are 42 and 21 nm, following 3-nm technology node [5]. There are two types of GAAFETs: nanowire FETs (NWFETs) having the same width and thickness as WNW, and nanosheet FETs (NSFETs) having thin NS thickness (TNS) of 5 nm but wide NS width (WNS) as 10, 20, 30, 40, and 50 nm. The number of NW or NS channels (Nch) is varied as 1, 2, 3, 4, and 5.

Geometrical parametersValues
CPPContacted poly pitch42 nm
FPFin pitch21 nm
NPNanowire/sheet pitchWNW or WNS + 16 nm
LgGate length12 nm
LspSpacer length5 nm
WfinFin width5 nm
HfinFin height46 nm
WNWNanowire width5, 6, 7, 8, 9, 10 nm
WNSNanosheet width10, 20, 30, 40, 50 nm
TNSNanosheet thickness5 nm
TSPNanowire/sheet spacing10 nm
NchThe number of channels1, 2, 3, 4, 5

Table 1.

Geometrical parameters and values of FinFETs and GAAFETs.

Figure 2 shows the schematic process flows of GAAFETs. The detailed gate-las process flows are described in [1]. After depositing Si0.7Ge0.3/Si multi-layer and etching like fin structure, poly-Si gate and low-k regions are formed. Inner-spacer is formed by etching sidewalls of Si0.7Ge0.3 regions selectively and depositing low-k regions. Followed by depositing BOX layer, selective epitaxial growth of S/D regions is performed. After removing poly-Si gate, channel release process is performed by etching Si0.7Ge0.3 regions selectively. Replacement metal gate, silicidation, and metal contact formations are done afterwards.

Figure 2.

Process flows of GAAFETs. Key process schemes of GAAFETs are Si0.7Ge0.3/Si multi-layer stacking, inner-spacer formation, and channel release by etching Si0.7Ge0.3 regions selectively.

All the TCAD results were calibrated to Intel 10-nm node FinFETs [15]. Detailed calibration flows are as follows. Geometrical parameters such as Lg, fin width (Wfin), fin height (Hfin), CPP, and FP were referred from [15]. Subthreshold characteristics such as subthreshold swing (SS) and drain-induced barrier lowering (DIBL) were fitted by changing annealing temperature and time for proper S/D doping profiles. Saturation velocity was tuned to fit the drain current (Ids) in the saturation region, whereas minimum low-field mobility and ballistic coefficient were varied to fit the Ids in the linear region. Some parameters related to surface roughness scatterings were also modified to fit the Ids in the strong inversion region accordingly. These calibration flows were equivalent as in [26]. After calibration, FinFETs were scaled down to the 3-nm node for comparison with GAAFETs.

Advertisement

3. Results and discussion

3.1 DC performances of NWFETs and NSFETs

Figure 3 shows the Ids of all the GAAFETs having different WNW or WNS at the fixed Nch of 3 at the drain voltages (Vds) of 0.70 V. It is not shown in this figure, but the Ids increases generally as the WNW or WNS increases irrespective of Nch. As the WNW increases, the Ids shifts leftward and the gate-induced drain leakage (GIDL) increases by losing the gate-to-channel controllability [27]. P-type NWFETs have larger GIDL than n-type NWFETs due to larger S/D doping penetrations into the channel for p-type devices. On the other hand, NSFETs have small GIDL and Ids shifts as thin TNS of 5 nm forms 1-D structural confinement and maintains good short channel characteristics. To the following, there are three applications at different off-state currents (Ioff): LP at the Ioff of 100 pA/μm, SP at the Ioff of 10 nA/μm, and HP at the Ioff of 100 nA/μm [28]. These values were normalized to NP.

Figure 3.

Ids of n-type (top) and p-type (bottom) NWFETs and NSFETs having different WNW or WNS at the fixed Nch of 3 at the drain voltages (Vds) of 0.70 V. it is not shown in this figure, but the GAAFETs have the same Ids trends irrespective of Nch (Ids increases as the WNW or WNS increases).

Figure 4 shows SS and DIBL of all the devices. Threshold voltages (Vth) and SS are extracted at the constant current of Weff/Lg × 108 A, where Weff is the effective width equal to 2 × Hfin + Wfin for FinFETs, 4 × WNW × Nch for NWFETs, and (2 × WNS + 2 × TNS) × Nch for NSFETs. DIBL is calculated as the difference of the Vth at two different Vds of 0.05 and 0.70 V for n-type (−0.05 and − 0.70 V for p-type) devices [29]. NWFETs degrade the short channel characteristics much than FinFETs as the WNW is 9 and 10 nm. NSFETs, on the other hand, have smaller SS and DIBL than FinFETs even as the WNS increases up to 50 nm because the gate-to-channel controllability is maintained by GAA structure and thin TNS of 5 nm. But when the NWFETs have ultra-small WNS of 5 or 6 nm, 2-D structural confinement decreases the SS and DIBL greatly, which would be preferable for LP applications. It is not shown in this figure, but the SS and DIBL are independent of Nch.

Figure 4.

SS (left) and DIBL (right) of FinFETs, NWFETs, and NSFETs having fixed Nch of 3. It is not shown in this figure, but the GAAFETs have the same SS and DIBL irrespective of Nch.

Figure 5 summarizes the effective currents (Ieff) of n-type (top) and p-type (bottom) GAAFETs having different WNW (or WNS) and Nch. Ieff was calculated using two Ids at different Vds and gate voltages (Vgs) as

Figure 5.

Ieff of n-type (top) and p-type (bottom) GAAFETs having different WNW (or WNS) and Nch. Ieff of n-type and p-type FinFETs are also specified as yellow symbols. Blue regions indicate that the GAAFETs have superior Ieff than the FinFETs.

Ieff=IHIL/lnIHILE1

where IH = Ids (Vgs = VDD, Vds = VDD/2) and IL = Ids (Vgs = VDD/2, Vds = VDD) [30], and VDD is the operation voltage fixed to 0.7 V. All the Ieff were normalized to the NP, and the Ioff were fixed to 10 nA/μm for SP applications. GAAFETs need to have at least the Nch of 3 to outperform the FinFETs. As the WNW is 9 nm, both n-type and p-type NWFETs suffer from short channel effects (SCEs) and thus have smaller Ieff than the devices having smaller WNW in spite of larger Weff. NSFETs, on the other hand, have larger Ieff as the WNS is larger as the SCEs are reduced by thin TNS of 5 nm. But even though small same SS and DIBL are maintained for all the Nch, the increasing rate of Ieff as a function of Nch decreases as Nch increases.

Figure 6 shows the S/D parasitic resistance (Rsd) of the GAAFETs having the WNW or 7 nm and the WNS of 30 nm as a function of Nch. Other WNW and WNS have the same Rsd trends and thus are not shown in this work. Rsd was possibly extracted using Y-function method due to the linearity of Y-function at high Vgs [31]. As the Nch increases, Rsd of the GAAFETs decrease but at decreasing rate. Furthermore, Rsd becomes saturated as the Nch is 3 or 4. This phenomena can be explained by 2-D schematic diagrams shown in the right of Figure 6. Since the S/D contacts reside at the top of the S/D epi, current paths start from the top toward the channels at the bottom. As the Nch increases, longer current paths are needed to flow the bottom-side channels, facing more Rsd components at the S/D epi. Thus, increasing the Nch beyond 3 or 4 does not help DC performance improvements greatly.

Figure 6.

Rsd of n-type and p-type GAAFETs having the WNW of 7 nm and the WNS of 30 nm as a function of Nch (left) and the 2-D schematic diagram of half of the GAAFETs showing the current paths and Rsd components (right).

3.2 AC performances of NWFETs and NSFETs

Figure 7 summarizes the gate capacitances (Cgg) of all the GAAFETs. The Cgg is extracted at the Vgs and the Vds of VDD. Generally, Cgg increases as the WNW (or WNS) or Nch increases due to the increased Weff. PFETs have larger Cgg than NFETs due to larger S/D doping concentrations and penetrations into the channels. Different from the Ieff trends, the GAAFETs have Nch smaller than 3 to outperform the FinFETs, thus there are performance trade-offs between Ieff and Cgg as a function of Nch. Furthermore, the increasing rate of Cgg as a function of Nch is constant while the increasing rate of Ieff as a function of Nch decreases, which would degrade the RC delay (= IeffVDD/Cgg) as the Nch increases.

Figure 7.

Cgg of n-type (top) and p-type (bottom) GAAFETs having different WNW (or WNS) and Nch. Cgg of n-type and p-type FinFETs are also specified as yellow symbols. Blue regions indicate that the GAAFETs have smaller Cgg than the FinFETs.

Figure 8 shows the Cgg and parasitic capacitances (Cpara) of the GAAFETs varying Nch and WNW (or WNS). Cpara is extracted at off-state for SP applications. For all the cases, PFETs have larger Cpara than NFETs due to larger S/D doping and penetrations into the channels [20]. At the fixed Nch of 3, larger WNW or WNS, except for p-type NWFETs, decreases the Cpara/Cgg because the proportion of the channels out of the metal gate increases. For the same reason, larger Nch decreases the Cpara/Cgg. Large Cpara/Cgg at the WNW of 9 nm for NFETs is because large SS forms on state before reaching strong inversion region.

Figure 8.

Cgg and Cpara of NWFETs (left) and NSFETs (right) having different WNW (or WNS) at the fixed Nch of 3 and having different Nch at the fixed WNW of 7 nm (or WNS of 30 nm). Percentages represent the Cpara/Cgg.

Figure 9 shows the S/D doping profiles of NFETs (top) and PFETs (bottom) having different WNW at the fixed Nch of 3. In general, NFETs have larger doping concentrations in the middle of channels than PFETs because the Ge intermixing within multi-stacked Si/Si0.7Ge0.3 layers increases the Ge concentration at the channels and assists more phosphorus dopants diffusing into the channels while it segregates boron dopants [32, 33, 34]. Both NFETs and PFETs increase the doping concentrations in the middle of channels as the WNW increases because the dopant segregations near the low-k spacer regions decrease [35]. But PFETs increase the doping concentrations in the middle of channels much due to smaller Ge intermixing for larger WNW. This great increase of the doping concentrations in the middle of channels increases the Cpara/Cgg for p-type NWFETs (as shown in Figure 8).

Figure 9.

S/D doping profiles of NFETs (top) and PFETs (bottom) having different WNW at the fixed Nch of 3. Doping concentrations in the middle of top-side channels are also specified.

Figure 10 finalizes the RC delay of all the GAAFETs for LP, SP, and HP applications. N-type FinFETs have smaller RC delay than p-type FinFETs for all the applications due to better short channel characteristics, greater Ieff (as shown in Figure 5) and smaller Cgg (as shown in Figure 8). For LP applications, n-type GAAFETs having small WNW equal to 5 or 6 nm can outperform n-type FinFETs by decreasing SS and DIBL critically. But as the Nch is 1 (or 5), the Ieff decreases greatly (or the Cgg increases greatly), thus degrading the RC delay. On the other hand, p-type GAAFETs have more WNW or WNS options to outperform p-type FinFETs because boron dopants of the GAAFETs are segregated by Si/Si0.7Ge0.3 intermixing and have more abrupt S/D doping profile than p-type FinFETs. For LP applications, both n- and p-type GAAFETs have the minimum RC delay at the WNW of 5 nm and the Nch of 4. For both SP and HP applications, both n- and p-type GAAFETs have the minimum RC delay at the WNS of 50 nm and the Nch of 3. As the WNS increases beyond 50 nm, RC delay decrease but a little (as shown in Appendix). All these RC delay are achieved by enhancing the Ieff rather than the Cgg. To outperform the FinFETs, therefore, GAAFETs should be NWFETs, showing outstanding short channel characteristics, for LP applications and NSFETs, showing superior DC performance, for SP and HP applications.

Figure 10.

RC delay of all the GAAFETs for (a) LP, (b) SP, and (c) HP applications. RC delay of FinFETs for three different applications are also specified. The devices having the RC delay smaller than FinFETs are marked as yellow.

Advertisement

4. Conclusion

3-nm-node GAAFETs have been analyzed by changing WNW (or WNS) and Nch using fully-calibrated TCAD. Compared to FinFETs, GAAFETs have smaller and SS and DIBL as the WNW is smaller than 9 nm but irrespective of the WNS. Both Ieff and Cgg of the GAAFETs increase as the Nch increases, but the increasing rate of Ieff decreases due to the increase of Rsd at the longer S/D epi. The increasing rate of Cgg, on the other hand, is almost constant. Because of these phenomena, Minimum RC delay are formed at the middle Nch of 3 or 4. The NWFETs having the WNW of 5 or 6 nm achieve smaller RC delay than the FinFETs by achieving better gate electronics for LP applications, whereas the NSFETs having the WNS of 40 or 50 nm increase the Ieff greatly and thus decrease the RC delay for SP and HP applications. Overall, GAAFETs are possible candidates to substitute FinFETs in the 3-nm technology node for all the applications by adopting different WNW or WNS.

Advertisement

Conflict of interest

The authors declare no conflict of interests.

Advertisement

Appendices and nomenclature

Figure A1 shows the DC/AC performances of the NSFETs as the WNS increases from 40 to 100 nm. Minimum RC delay are formed at the WNS of 50 nm and the Nch of 3 as shown in Figure 10, but much smaller RC delay can be attained as the WNS increases to 100 nm by increasing the Ieff rather than the Cgg even though larger WNS extends the device area. For the most, RC delay decrease by 5.4% for PFETs as the WNS increases from 40 to 100 nm.

Figure A1.

Ieff, Cgg, and RC delay of the NSFETs having the WNS of 40, 50, 60, 70, 80, 90, and 100 nm at the fixed Nch of 3 for SP and HP applications.

References

  1. 1. Loubet N, Hook T, Montanini P, Yeung C.-W, Kanakasabapathy S, Guillorn M, Yamashita T, Zhang J, Miao X, Wang J, Young A, Chao R, Kang M, Liu Z, Fan S, Hamieh B, Sieg S, Mignot Y, Xu W, Seo S.-C, Yoo J, Mochizuki S, Sankarapandian M, Kwon O, Carr A, Greene A, Park Y, Frougier J, Galatage R, Bao R, Shearer J, Conti R, Song H, Lee D, Kong D, Xu Y, Arceo A, Bi Z, Xu P, Muthinti R, Li J, Wong R, Brown D, Oldiges P, Wu T, Gupta D, Lian S, Divakaruni R, Gow T, Labelle C, Lee S, Paruchuri V, Bu H, Khare M. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In: Proceedings of 2017 Symposium on VLSI Technology, Kyoto, 2017, pp. T230-T231, DOI: 10.23919/VLSIT.2017.7998183.
  2. 2. Yoon J.-S, Rim T, Kim J, Meyyappan M, Baek C.-K, and Jeong Y.-H. Vertical gate-all-around junctionless nanowire transistors with asymmetric diameters and underlap lengths. Applied Physics Letters. 2014;105:102105-1-4. DOI: 10.1063/1.4895030.
  3. 3. Lee Y. M, Na M. H, Chu A, Young A, Hook T, Liebmann L, Nowak E. J, Baek S. H, Sengupta R, Trombley H, and Miao X. Accurate performance evaluation for the horizontal nanosheet standard-cell design space beyond 7nm technology. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 29.3.1-29.3.4, DOI: 10.1109/IEDM.2017.8268474.
  4. 4. Barraud S, Lapras V, Previtali B, Samson M. P, Lacord J, Martinie S, Jaud M.-A, Athanasiou S, Triozon F, Rozeau O, Hartmann J. M, Vizioz C, Comboroure C, Andrieu F, Barbé J. C, Vinet M. Ernst T. Performance and design considerations for gate-all-around stacked-nanowires FETs. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 29.2.1-29.2.4, DOI: 10.1109/IEDM.2017.8268473.
  5. 5. Yakimets D, Garcia Bardon M, Jang D, Schuddinck P, Sherazi Y, Weckx P, Miyaguchi K, Parvais B, Raghavan P, Spessot A, Verkest D, Mocuta A. Power aware FinFET and later nanosheet FET targeting for 3nm CMOS technology. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 20.4.1-20.4.4, doi: 10.1109/IEDM.2017.8268429.
  6. 6. Song S. C, Colombeau B, Bauer M, Moroz V, Lin X-W, Asenov P, Sherlekar D, Choi M, Huang J, Cheng B, Chidambaram C, Natarajan S. 2nm node: benchmarking FinFET vs nano-slab transistor architectures for artificial intelligence and next gen smart mobile devices. In: Proceedings of 2019 Symposium on VLSI Technology, Kyoto, Japan, 2019, pp. T206-T207. DOI: 10.23919/VLSIT.2019.8776478.
  7. 7. Yoon J.-S, Kim K, Baek C.-K. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors. Scientific Reports. 2017;7:41142-1-9. DOI: 10.1038/srep41142.
  8. 8. Yoon J.-S, Kim K, Meyyappan M, Baek C.-K. Bandgap engineering and strain effects of core-shell tunneling field-effect transistors. IEEE Transactions on Electron Devices. 2018;65:277-281. DOI: 10.1109/TED.2017.2767628.
  9. 9. Tanaka H, Kido M, Yahashi K, Oomura M, Katsumata R, Kito M, Fukuzumi Y, Sato M, Nagata Y, Matsuoka Y, Iwata Y, Aochi H, Nitayama A. Bit cost scalable technology with punch and plug process for ultra high density flash memory. In: Proceedings of 2007 IEEE Symposium on VLSI Technology, Kyoto, 2007, pp. 14-15. DOI: 10.1109/VLSIT.2007.4339708.
  10. 10. Kim J, Hong A. J, Kim S. M, Song E. B, Park J. H, Han J, Choi S, Jang D, Moon J.-T, Wang K. L. Novel vertical-stacked-array-transistor (VSAT) for ultra-high-density and cost-effective NAND flash memory devices and SSD (solid state drive). In: Proceedings of 2009 Symposium on VLSI Technology, Honolulu, HI, 2009, pp. 186-187.
  11. 11. Seo K, Wober M. Steinvurzel P, Schonbrun E, Dan Y, Ellenbogen T, Crozier K. B. Multicolored vertical silicon nanowires. Nano Letters. 2011;11:1851-1856. DOI: 10.1021/nl200201b.
  12. 12. Yoon J.-S, Kim K, Meyyappan M, Baek C.-K. Optical characteristics of silicon-based asymmetric vertical nanowire photodetectors. IEEE Transactions on Electron Devices. 2017;64:2261-2266. DOI: 10.1109/TED.2017.2682878.
  13. 13. Kwon H, Yoon J.-S, Lee Y, Kim D. Y, Baek C.-K, Kim J. K. An array of metal oxides nanoscale hetero p-n junctions toward designable and highly-scalable gas sensors. Sensors and Actuators B: Chemical. 2018;255:1663-1670. DOI: 10.1016/j.snb.2017.08.173.
  14. 14. Lee Y, Kwon H, Yoon J.-S, Kim J. K. Overcoming ineffective resistance modulation in p-type NiO gas sensor by nanoscale Schottky contacts. Nanotechnology. 2019;30:115501-1-6. DOI: 10.1088/1361-6528/aaf957.
  15. 15. Auth C, Aliyarukunju A, Asoro M, Bergstrom D, Bhagwat V, Birdsall J, Bisnik N, Buehler M, Chikarmane V, Ding G, Fu Q, Gomez H, Han W, Hanken D, Haran M, Hattendorf M, Heussner R, Hiramatsu H, Ho B, Jaloviar S, Jin I, Joshi S, Kirby S, Kosaraju S, Kothari H, Leatherman G, Lee K, Leib J, Madhavan A, Marla K, Meyer H, Mule T, Parker C, Parthasarathy S, Pelto C, Pipes L, Post I, Prince M, Rahman A, Rajamani S, Saha A, Dacuna Santos J, Sharma M, Sharma V, Shin J, Sinha P, Smith P, Sprinkle M, St. Amour A, Staus C, Suri R, Towner D, Tripathi A, Tura A, Ward C, Yeoh A. A 10nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 29.1.1-29.1.4. DOI: 10.1109/IEDM.2017.8268472.
  16. 16. Yeap G. Lin S. S, Chen Y. M, Shang H. L, Wang P. W, Lin H. C, Peng Y. C, Sheu J. Y, Wang M, Chen X, Yang B. R, Lin C. P, Yang F. C, Leung Y. K, Lin D. W, Chen C. P, Yu K. F, Chen D. H, Chang C. Y, Chen H. K, Hung P, Hou C. S, Cheng Y. K, Chang J, Yuan L, Lin C. K, Chen C. C, Yeo Y. C, Tsai M. H, Lin H. T, Chui C. O, Huang K. B, Chang W, Lin H. J, Chen K. W, Chen R, Sun S. H, Fu Q, Yang H. T, Chiang H. T, Yeh C. C, Lee T. L, Wang C. H, Shue S. L, Wu C. W, Lu R, Lin W. R, Wu J, Lai F, Wu Y. H, Tien B. Z, Huang Y. C, Lu L. C, He Jun, Ku Y, Lin J, Cao M, Chang T. S, Jang S. M. 5nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021μm2 SRAM cells for mobile SoC and high performance computing applications. In: Proceedings of 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 36.7.1-36.7.4. DOI: 10.1109/IEDM19573.2019.8993577.
  17. 17. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Systematic DC/AC performance benchmarking of sub-7-nm node FinFETs and nanosheet FETs. IEEE Journal of the Electron Devices Society. 2018;6: 942-947. DOI: 10.1109/JEDS.2018.2866026.
  18. 18. Synopsys Inc., Mountain View, CA, Version O-2018.06, 2018.
  19. 19. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Multi-Vth strategies of 7-nm node nanosheet FETs with limited nanosheet spacing. IEEE Journal of the Electron Devices Society. 2018;6:861-865. DOI: 10.1109/JEDS.2018.2859799.
  20. 20. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Optimization of nanosheet number and width of multi-stacked nanosheet FETs for sub-7-nm node system on chip applications. Japanese Journal of Applied Physics. 2019;58:SBBA12-1-5. DOI: 10.7567/1347-4065/ab0277.
  21. 21. Jeong J, Yoon J.-S, Lee S, Baek R.-H. Comprehensive analysis of source and drain recess depth variations on silicon nanosheet FETs for sub 5-nm node SoC application. IEEE Access. 2020;8:35873-35881. DOI: 10.1109/ACCESS.2020.2975017.
  22. 22. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Sensitivity of source/drain critical dimension variations for sub-5-nm node fin and nanosheet FETs. IEEE Transactions on Electron Devices. 2020;67:258-262. DOI: 10.1109/TED.2019.2951671.
  23. 23. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Punch-through-stopper free nanosheet FETs with crescent inner-spacer and isolated source/drain. IEEE Access. 2019;7:38593-38596. DOI: 10.1109/ACCESS.2019.2904944.
  24. 24. Yoon J.-S, Jeong J, Lee S, Baek R.-H. Bottom oxide bulk FinFETs without punch-through-stopper for extending toward 5-nm node. IEEE Access. 2019;7: 75762-75767. DOI: 10.1109/ACCESS.2019.2920902.
  25. 25. Wu H, Gluschenkov O, Tsutsui G, Niu C, Brew K, Durfee C, Prindle C, Kamineni V, Mochizuki S, Lavoie C, Nowak E, Liu Z, Yang J, Choi S, Demarest J, Yu L, Carr A, Wang W, Strane J, Tsai S, Liang Y, Amanapu H, Saraf I, Ryan K, Lie F, Kleemeier W, Choi K, Cave N, Yamashita T, Knorr A, Gupta D, Haran B, Guo D, Bu H, Khare M. Parasitic resistance reduction strategies for advanced CMOS FinFETs beyond 7nm. In: Proceedings of 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 35.4.1-35.4.4. DOI: 10.1109/IEDM.2018.8614661.
  26. 26. Yoon J.-S, Lee S, Lee J, Jeong J, Yun H, Kang B, Baek R.-H. Source/Drain patterning FinFETs as solution for physical area scaling toward 5-nm node. IEEE Access. 2019;7:172290-172295. DOI: 10.1109/ACCESS.2019.2956503.
  27. 27. Yoon J.-S, Kim K, Rim T, Baek C.-K. Performance and variations induced by single interface trap of nanowire FETs at 7-nm node. IEEE Transactions on Electron Devices. 2017;64:339-345. DOI: 10.1109/TED.2016.2633970.
  28. 28. International Roadmap for Devices and Systems (IRDS), 2020 Edition. Available from: https://irds.ieee.org/editions/2020.
  29. 29. Bangsaruntip S, Cohen G. M, Majumdar A, Zhang Y, Engelmann S. U, Fuller N. C. M, Gignac L. M, Mittal S, Newbury J. S, Guillorn M, Barwicz T, Sekaric L, Frank M. M, Sleight J. W. High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling. In: Proceedings of 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, 2009, pp. 1-4. DOI: 10.1109/IEDM.2009.5424364.
  30. 30. Na M. H, Nowak E. J, Haensch W, Cai J, The effective drive current in CMOS inverters. In: Proceedings of Digest. International Electron Devices Meeting, San Francisco, CA, USA, 2002, pp. 121-124. DOI: 10.1109/IEDM.2002.1175793.
  31. 31. Baek R.-H, Baek C.-K, Jung S.-W, Yeoh Y. Y, Kim D.-W, Lee J.-S, Kim D. M, Jeong Y.-H. Characteristics of the series resistance extracted from Si nanowire FETs using the Y-function technique. IEEE Transactions on Nanotechnology. 2010;9:212-217. DOI: 10.1109/TNANO.2009.2028024.
  32. 32. Zangenberg N. R, Fage-Pedersen J, Lundsgaard Hansen J, Nylandsted Larsen A. Boron and phosphorus diffusion in strained and relaxed Si and SiGe. Journal of Applied Physics. 2003;94:3883-3890. DOI: 10.1063/1.1602564.
  33. 33. Jeong J, Yoon J.-S, Lee S, Baek R.-H. Threshold voltage variations induced by Si1-xGex and Si1-xCx of sub 5-nm node silicon nanosheet field-effect transistors. Journal of Nanoscience and Nanotechnology. 2020;20:4684-4689. DOI: 10.1166/jnn.2020.17799.
  34. 34. Yoon J.-S, Lee S, Lee J, Jeong J, Yun H, Baek R.-H. Reduction of process variations for sub-5-nm node fin and nanosheet FETs using novel process scheme. EEE Transactions on Electron Devices. 2020;67:2732-2737. DOI: 10.1109/TED.2020.2995340.
  35. 35. Oh Y.-S, Ward D. E. A calibrated model for trapping of implanted dopants at material interface during thermal annealing. In: Proceedings of International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217), San Francisco, CA, USA, 1998, pp. 509-512. DOI: 10.1109/IEDM.1998.746409.

Written By

Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Junjong Lee and Rock-Hyun Baek

Submitted: 16 June 2020 Reviewed: 16 September 2020 Published: 30 October 2020