Open access

Nanoimprint Lithography - Next Generation Nanopatterning Methods for Nanophotonics Fabrication

Written By

Jukka Viheriälä, Tapio Niemi, Juha Kontio and Markus Pessa

Published: 01 January 2010

DOI: 10.5772/6917

From the Edited Volume

Recent Optical and Photonic Technologies

Edited by Ki Young Kim

Chapter metrics overview

8,489 Chapter Downloads

View Full Metrics

1. Introduction

Nanophotonics is wide field covering many interesting applications branching from cutting edge science including plasmonics, metamaterials, cavity quantum electrodynamics in high-Q cavities all the way to applied sciences like silicon nanophotonics for on chip optical interconnections and single frequency semiconductor light sources. Most of the practical device demonstrations in these fields utilize nanopatterned surfaces. Applications require patterning of nanoscopic gratings, photonic crystals, waveguides and metal structures.

There are many wonderful demonstrations of nanotechnology-based lasers and other photonic components. However, difficult questions related to fabrication need to be addressed before these components enter any market. Demonstrations in the scientific literature have relied heavily on the use of direct writing lithography methods, such as electron beam lithography or focused ion beam lithography. These methods, although excellent for scientific studies, cannot be scaled up to allow cost effective production of nanophotonics. Lithography solutions developed for integrated circuits can produce extremely narrow linewidths and deliver high precision but are difficult to transfer to photonics fabrication. There exist many alternative lithography methods, but their scale-up to cost effective volume production is challenging.

Since the introduction of nanoimprint lithography (NIL) in 1995 (Chou et al. 1995), there has been widespread interest in the development of NIL for various applications. As early as in 2003 NIL had gained substantial support and was chosen as one of MIT's Technology Review’s “10 Emerging Technologies That Will Change the World” (Technology Review 2003). The selection was justified by the fact that NIL can bridge the gap between lab level nanotechnology research and production level manufacturing requirements.

In this chapter, we briefly review the state-of-the-art lithography methods and introduce nanoimprint lithography (NIL), a very cost effective lithography method for nanophotonics applications. In section two we will introduce soft UV-NIL, an imprint method using soft and flexible stamps, as a method for patterning compound semiconductor optoelectronics. Finally, in section three, we highlight some NIL activities based on soft-UV-NIL.

1.1. Optical lithography

The era of microlithography started to develop in the 1970s, and was driven mainly by the development of integrated circuits (ICs). This industry created a need for high volume, perfect replication of ever smaller patterns on a substrate, at minimal costs. The main method to achieve this was, and still is, optical lithography. This branch of lithography utilizes templates, also known as photomasks, having transparent and opaque areas. Light is shone through the photomask on a substrate coated with a photosensitive thin film called photoresist. Photoresist areas that are exposed will transform to either soluble (positive photoresist) or nonsoluble (negative photoresist), depending on the chemistry of the photoresist. Light replicates patterns from photomask to the photosensitive film and further steps are taken to transfer the copied patterns to the substrate. In the early 1970s the required dimensions for the ICs were from 2 µm to 5 µm. Replication of these patterns was simply achieved by using mercury arc based UV-light and by bringing photomask and substrate in close proximity or into contact during the exposure. Systems based on this operating principle are still used today in microfabrication due their simplicity, relatively low cost, high throughput and good process quality. These systems, called UV-contact mask aligners, reach resolutions from a few micrometers to sub half micron level, depending on the exposure wavelength and the contact method. With fully automated systems the throughput can exceed 100 wafers per hour (wph) and reach an overlay accuracy of 0.25 µm (Suss 2009).

However, an ever increasing demand for lower linewidth has demanded more complex exposure systems. Nowadays the state-of-the-art systems in IC production reach 32 nm linewidths by using deep-UV ArF-light sources operating at 193 nm wavelength and expousing patterns using immersion scanners, phase shift masks and double exposure schemes. Exposure is based on an image reduction technique that projects the photomask onto the substrate and simultaneously reduces the size of the patterns many times. This allows the photomasks to be fabricated with looser tolerances than the final pattern. These systems are also very productive and able to pattern more than one hundred and fifty 300 mm wafers per hour and to reach better than 2.5 nm alignment between subsequent patterning steps (ASML 2009).

These exposure systems, reaching 45 nm or even 32 nm linewidths, cost tens of millions of euros, making acquisition and amortization of the instrument impossible unless very high volumes can be produced. For these reasons such instruments can only be owned by large IC-manufacturers. As the cost of optical lithography grows rapidly as linewidths get smaller, research and utilization of alternative techniques is tempting. Although the driving force in the development of lithography has been electronics, there are many other applications that benefit from effective nanofabrication methods.

The most interesting alternative lithography methods for repetitive nanofabrication, selected by the author, are interference and near field holographic lithography (Chapter 1.2), electron beam lithography utilizing one or multiple beams (Chapter 1.3), and nanoimprint lithography (Chapter 1.4).

1.2. Interference lithography

Interference lithography utilizes interference of two or several coherent beams that form an interference pattern on the substrate. Using photoresists similar to those used in optical lithography, this interference pattern can be transferred to the photoresist and subsequently to the other layers on the substrate. Near field holographic lithography is very similar to interference lithography. It uses a phase mask near the substrate to divide one beam into two diffracted beams propagating at different angles. These two beams interfere and generate diffraction patterns. Both methods can produce patterns cost effectively over large areas, but only allow exposure of periodical patterns whose pitch is limited by the exposure wavelength. However, state-of-the-art exposure tools produce good resolution. With a high index immersion fluid system a 32 nm half-pitch has been demonstrated using an exposure wavelength of 193 nm (French et al., 2005) and 12.5 nm half-pitch using an extreme ultraviolet light source emitting at 14.5 nm (Solak et al., 2007).

1.3. Electron beam lithography

Electron beam lithography (EBL) is traditionally based on a single beam of electrons focused on a small spot with a Gaussian shape, or on a beam of electrons that is cut down to the correct size and shape with an aperture. This beam is displaced with a magnetic field that is controlled with a computer. The beam exposes the electron beam sensitive material coated on the substrate in a similar fashion as photoresist is exposed in optical lithography. EBL allows replication of geometrical data structures from computer memory to the substrate. Therefore it is used to generate templates for other lithography techniques.

As the wavelength of the electron is very small, even a basic EBL system offers high resolution. At the same time, writing of large areas is very time consuming if the density of the patterns is high, the linewidth is narrow or the pattern geometry challenging. Registration of the patterns in EBL is not necessary nearly as good as the resolution of the system, because the substrate has to be moved over large distances during the exposure. This requires a fast and extremely accurate mechanical stage. High-end systems having a 10 nm registration accuracy and reasonable write speed can cost millions of euros. Even in high-end systems writing of a single wafer can take a very long time, and as a consequence exposure cost per wafer is substantial.

Electron beam lithography systems based on the use of multiple beams are being developed at present to tackle the throughput problem. These kinds of systems currently target prototyping or small volume manufacturing of IC circuitry, and first demo systems are being sold to customers. In 2008, for example, Mapper Lithography delivered the first systems having 13,000 beams to CEA-Leti and the Taiwan Semiconductor Manufacturing Company to be explored in 22 nm manufactures (Mapper 2009, Wieland et al. 2009). The KLA-Tencor Corporation and the Defense Advanced Research Projects Agency (DARPA) have launched a cost-shared program to develop high throughput EBL systems containing a million beams. The system is targeted to production of an astonishing five to seven densely patterned 300 mm wafers and up to forty sparsely patterned wafers per hour. The system is intended for the 45 nm node with extendibility to the 32 nm node and beyond (Petric et al. 2009). Although these systems produce unprecedented direct writing throughput it is expected that it will take a long time before these systems migrate into mainstream lithography due to the development status, complexity and cost of the instruments.

1.4. Nanoimprint lithography

Nanoimprint lithography (NIL) was introduced at 1995 by Stephen Chou (Chou et al. 1995). He demonstrated results from an experiment where a lab press was used to press together a patterned stamp, made from a SiO2 coated Si-wafer, with a silicon substrate coated with a thermoplastic polymer (PMMA). Pillars having 25 nm diameters were successfully transferred from the template to the substrate. The process flow from the early paper is illustrated in figure 1. One can argue that Chou’s method does not differ much from earlier imprint methods, i.e. those that were used to make compact disks, but the combination of a nanoscopic lateral scale and a thin residual layer allowing subsequent pattern transfer to the underlying layers differentiates Chou’s work from others and defines NIL.

The NIL process is a mechanical replication process where surface reliefs from the template are embossed into a thin layer on the substrate. In principle, there are two versions of NIL. One is based on thermal embossing of thermoplastic polymers and the second is based on UV-curable polymers. Some special imprint chemistries require both temperature and UV-light (Schuster et al. 2009), but they are not very common. The NIL process and imprint instrument are conceptually very simple, but allow extremely good resolution and a relatively fast replication process. Compared to optical lithography it does not require extreme ultraviolet light sources and special optics, which increase the cost dramatically. In principle, NIL does not have any limitations in pattern geometry, therefore NIL can copy any patterns produced with EBL or by other techniques.

Thermal-NIL, as illustrated in figure 1, was the original version of NIL. It is based on the use of a thermoplastic polymer spin coated on the substrate. The thermoplastic polymer is heated above the glass transition point of the polymer, and the heated template is brought into contact with the polymer. Once the polymer has filled all the cavities of the template, the substrate and the template are cooled down and the template is separated from the substrate. A negative replica of the template is created on the polymer. In order to use imprinted polymer for pattern transfer to other layers on the substrate, polymer left on the indented areas has to be removed. This residual layer (see figure 1) originates from the fact that the flow of the polymers is not free of resistance.

Figure 1.

Thermal NIL-process. Tg is the glass transition temperature of the thermoplastic polymer

Stefan’s equation (Bird et al. 1977), describing the force needed to press two circular discs separated by a Newtonian fluid closer to each other, suggests that the imprint force is inversely proportional to the third power of the residual layer thickness. The equation states:

F = 3 π R 4 4 h 0 3 d h d t η 0 E1

In this equation F is the applied force, R is the disc radius, 2h0 is the separation between the discs, and η0 is the viscosity of the fluid. This model implies that the displacement of fluid over large distances via thin channels requires a large force, or a small displacement rate. In particular, as the residual layer becomes very thin, the resistance grows rapidly, and it is no longer possible to displace all material within a finite time

NIL-process using UV-curable polymers is called UV-NIL. In this process, a layer of UV-curable fluid is spin coated on the substrate, the transparent template is brought into contact with the fluid, and cured using UV-light. The UV-curable layer must be exposed and cured through the template unless the substrate itself allows transmission of UV-Light. The UV-NIL process has some inherent advantages over Thermal NIL:

  1. UV-NIL is a room temperature process, therefore time consuming heating and cooling cycles can be omitted.

  2. Room temperature processes eliminate the registration problems originating from the different coefficients of thermal expansion (CTE) of the substrate and the template.

  3. Typically fluids having very low viscosity (2 mPa∙s to 50 mPa∙s) can be used. UV-NIL therefore requires lower imprint pressures and shorter imprint cycles.

In addition to classifying NIL-processes by their curing properties, NIL-processes can also be classified by their strategy to cover large areas. In principle NIL can be applied on a whole substrate by using a template that is as large as the substrate. However, one small stamp can be used repetitively to cover large areas. These approaches are called “full field NIL” and “step and repeat NIL”. Both of the methods have their advantages and disadvantages, as summarized in table 1.

In the case of UV-NIL, different processes can also be differentiated by the dispensing mechanism of the UV-curable polymer. The polymer can be dispensed as a uniform thin layer on the substrate by spin coating, or alternatively it can be dispensed as droplets

Droplet dispensing is also known as step and flash imprint lithography (S-FIL) or jet and flash imprint lithography (J-FIL).

on pre-defined locations on the substrate by ink-jet or other means. Both methods have their advantages. Spin coating does not require any special equipment, and can deposit highly uniform layers with minimal investment. Droplet dispensing allows polymer to be delivered directly to the location where it is needed by adjustment of the droplet density, as illustrated in figure 2. Therefore the polymer does not need to flow over large distances, and throughput is improved in some cases. Droplet dispensing also helps when imprinting layers incorporating/containing local variations of nanopattern density, and consumes significantly less material than spincoating

A uniform 100 nm layer requires just 10 nl / cm2 of polymer.

. Droplets of strongly hydrophobic materials can be deposited on the substrate, whereas spin coating of these materials is challenging. Although this dispensing method is in many ways advantageous, it requires special instrumentation capable of first delivering potentially vast numbers of droplets with accurate volumes (from pico-litres to micro-litres depending on the droplet density) to the correct positions on the substrate, and then aligning the template correctly to the droplet pattern.

Full field NIL Step and Repeat NIL
Instrument complexity and cost Very low to medium (1) Medium to very high
Throughput Higher , since larger area is imprinted Lower , since large area has to stitched from small areas
Cost of the Template Very high. Depend s on substrate size. Medium. Depend s on imprint field size.
Die size Not limited Limited by individual imprint field.
Within field pattern registration Lower , due to larger area Higher , due to smaller area
Over the substrate pattern registration High, template dependent Low to very high depending on instrument quality.
Overlay accuracy Lower, limited by the pattern registration over large field Higher since pattern must be aligned over smaller field
Requirement for planarity of the template and the substrate Higher Lower
Alignment accuracy Medium Medium to very high in advanced systems

Table 1.

Comparison between Full field NIL and Step and Repeat NIL. (1 Instruments reaching extremely high throughput are also very expensive.

Figure 2.

Sequence for droplet dispense NIL. 1st Deposition of the UV-curable polymer using ink-jet or similar instrumentation. The density of the droplets can be chosen based on the template pattern volume. 2nd Imprint and UV-cure. 3rd Separation of the template.

1.4.1. State of the art in the NIL

The first published NIL paper already demonstrated a 25 nm pattern diameter and pattern transfer using the lift off technique (Chou et al. 1995). Later, a 10 nm pattern diameter was demonstrated by improving the resolution of the template (Chou et al. 1997). Both these records were based on the use of EBL-written templates that limited the resolution. The same group demonstrated a 6 nm linewidth using a template made from an epitaxially-grown GaAs/AlGaAs superlattice (Austin et al. 2005). However, as early as 2004 Hua had demonstrated linewidths as low as 2 nm using a carbon nanotube-based template (Hua et al. 2004). This demonstration can be considered a resolution record for imprint lithography. However, the patterns were not transferred to the underlying substrate.

The best reported throughput values for NIL systems are reported by Molecular Imprints Inc. For full field systems they have demonstrated throughputs as high as 180 wafers per hour using their HD2200 system designed for hard disk pilot production (Brooks et al. 2009). A state-of-the-art step and repeat system from Molecular Imprints Imprio 300 has demonstrated a throughput of 4 wafers per hour for 300 mm wafers using an imprint field of 26 mm 32 mm. Although the current throughput record for the step-and-repeat system is rather modest compared to optical lithography systems, the company believes that the throughput can be further improved by clustering these low cost imprint heads together, and by improving their process further. In this way it would be possible to realise a high throughput at lower cost than competing optical lithography systems (Sreenivasan et al. 2009).

The alignment accuracy record for NIL is also set by the Molecular Imprints Imprio 300 system. The system has demonstrated a 3 alignment accuracy of 16 nm using thick glass templates that have Moiré-alignment marks. The instrument can deform templates in situ by some nanometers in order to fine-adjust the overlay of the template to the substrate. They also utilize a feed-forward strategy that allows correction of the overlay based on the measurements of the previous overlays (Sreenivasan et al. 2009).

Advertisement

2. Nanoimprint lithography with soft stamp

Soft stamp UV-NIL is one of the most interesting nanoimprint methods, due its outstanding cost effectiveness. As the name implies this method utilises soft and sometimes flexible working stamps, replicated from the template. The softness of the stamp makes the imprint process more robust and economical. When the stamp is soft it can deform locally, for example, when a particle is trapped between the stamp and the target wafer. This improves the yield of the process, since with a soft stamp a small particle destroys only a small part of the wafer. Stamp softness also allows imprinting of a large area in a single step, while maintaining a uniform residual layer. High uniformity is possible because a soft and flexible stamp conforms to the overall nonflatness of the substrate. On the other hand, hard stamps can be typically used to imprint a maximum of one square inch area, in a single step. All the waviness of the substrate, within the imprint area, adds to the nonuniformity of the residual layer. This is important to realise, since it is not always possible to use sufficiently flat substrates. In particular, when the linewidth is narrow, the patterns height is small, and the residual layer must be highly uniform. The problem associated with hard stamps is illustrated in figure 3.

One of the best features of soft UV-NIL is that it can be applied with modern UV-mask aligners using special tooling. The mask aligner is, in any case, the work horse of microlithography processing, since it is often the best tool for patterning microscopic features. The increased cost of the mask aligner due to NIL-tooling is insignificant compared to any other feasible nanolithography solution. A manual mask aligner, equipped with NIL tooling, can produce from ten to twenty wafers per hour, and automated systems can reach even better throughputs. At the moment NIL tooling is available for EV Group, Suss Microtech and OAI-mask aligners. These tools typically allow submicron alignment accuracy and can be operated in manual, semi-automatic or fully automatic modes.

Figure 3.

Comparison between soft and hard stamp technology. On the left: Process flow for a hard stamp. It shows how nonuniformity of the wafer is transferred directly to the residual layer, since both stamp and substrate are rigid. On the right: Process flow for a soft stamp which can conform to the waviness of the wafer, thus improving uniformity of the residual layer.

2.1. Fabrication of large area templates

Based on experience, the most severe limitation for the potential of NIL, especially for NIL using full wafer sized stamps, is the cost of the mastering. When patterns are narrow and dense the exposure rate of any direct write system is slow. Therefore templates can be very expensive or non-feasible to fabricate. At the moment, the only generic method to circumvent this problem, and to make large area stamps, is the use of step and repeat lithography, since it allows replication of the small die to large areas. The benefit of optical lithography is that it uses reduction lenses that scale down the dimensions of the photomask. For this reason the, original template, the photomask, can be fabricated with looser tolerances for particle size, linewidth and registration of the patterns, than the final large area NIL template. On the other hand, step and repeat NIL allows narrower linewidths than optical lithography and NIL-steppers are more accessible than state-of-the-art optical lithography tools. Both lithography options are available from commercial vendors for fabrication of the large area templates.

We have studied use of NIL-templates fabricated from quartz (QZ) mask blanks, Si-wafers, silicon-on-insulator (SOI) wafers and Si-wafers with or without PECVD (plasma enhanced chemical vapor deposition) -deposited SiO2-top layers. All material systems have a common SiO2 surface chemistry (thin surface oxide or bulk oxide) and for this reason they have similar adhesion properties. The main considerations for template fabrication are: etch depth uniformity, surface quality and flatness, etch profile and templates ruggedness. In many applications the quality of the EBL-tool and the processing have to be excellent in order to overcome all restrictions imposed by device physics.

When the linewidth of the patterns or the density of the patterns change over the template, etch depth uniformity issues may arise. These phenomena are referred to as reactive ion etching-lag and aspect ratio dependant etching. Dense and narrow features tend to etch more slowley than isolated and wide features. Both of the material systems, SiO2 coated Si wafers and SOI-wafers, allow improved control of the etch depth by utilizing etch rate difference between Si and SiO2. PECVD allows easy control of the etch depth but has higher surface roughness compared to SOI-wafers, which have to be bought from the wafer manufacturer with correct silicon layer thickness. On the other hand, SOI-wafers are almost atomically smooth, thus providing the best platform for large area template fabrication in terms of quality. The main inspection method for template cleanliness and quality is the scanning electron microscopy. Electrically conducting silicon templates are therefore preferred. However, QZ-template offers lowest CTE from these materials thus providing most stable pattern registration accuracy.

Pattern depth and sidewall profiles influence the adhesion between the template and the imprint resist. Obviously, shallow and smooth structures require less force since their surface areas are smaller. A positive etch profile has a lower adhesion force to NIL resist than a 90 profile, but it is easier to maintain a correct linewidth using a template having a 90 sidewall profile. Negative etch profiles have high adhesion forces and usually can’t be replicated, although such profiles would be ideal for lift-off processing. However, replication of a slanted grating with opposite directions has been demonstrated, showing that even negative profiles can be replicated using certain material systems (Levola & Laakkonen, 2007).

2.2. Anti-adhesion treatment

Nearly all surfaces used in the template require a coating that makes it easier to separate template and the imprinted resist. There are maybe three factors that need to be evaluated when applying the anti adhesion layer. Obviously factor one is how it improves the demolding properties. Often this is evaluated simply by measuring the contact angel of wafer that is proportional to surface energy the surface. Surface having high contact angle and therefore being hydrophobic are believed to be ideal for NIL. However more correct way is to evaluate the force needed to separate template from the resist. Contact angle and fracture strength don’t necessary correlate well since hydrophobic surface are not necessary chemically inert (Houle et al. 2007). Secondly coatings must be evaluated by their wear properties i.e. how many replicas you may make from the template before replacing the anti-adhesion coating. Finally, one has to evaluate how much coating distorts the dimensions of the patterns.

2.3. Soft stamps

Since the template is often very expensive it is common practice to fabricate a working stamp from the template. The replicated stamp can be used to make several imprints, therefore minimizing the risk of damage to the template. Stamps may also have different physical properties than the template. For example, an SOI-wafer is in many ways an ideal substrate for the template, as described earlier. However, it is nontransparent, and therefore unsuitable for UV-NIL applications. The replicated stamp might have also advantageous mechanical properties such as a soft surface and flexibility. The softness of the stamp may be tuned by the material selection (Schmid & Michel 2000) and by the geometry of the stamp, as illustrated by us in reference (Viheriälä et al, 2009). Finally, a working stamp might have advantageous chemical properties. For example, stamps made from polydimethylsiloxane (PDMS) have very hydrophobic surfaces and do therefore not require anti-adhesion treatment. Additionally, PDMS can absorb small amounts of solvent, which allows improved wetting of the stamp surface.

Perhaps the simplest of the soft stamps is a thick PDMS slab, typically made from the commercially available PDMS brand Sylgard 184, also known as soft-PDMS or s-PDMS (Dow Corning Inc., USA). The stamp is cast in a chamber formed between the template and an anti-adhesion treated glass (see stamp in figure 4a). The stamp can be used to replicate nanopatterns, but it does not offer high accuracy since PDMS has a high CTE, and the stamp does not have any supporting structure which would prevent the soft material from deforming laterally. More advanced geometries of the soft stamp are presented in figures 4b and 4c. In figure 4b the s-PDMS slab is bonded to glass. This improves the accuracy of the stamp, since different glasses have significantly lower CTEs (from 0.5 to 8 ppm/C) and higher modulus than soft materials. For these reasons glass reduces lateral deformation caused by thermal expansion mismatch between stamp and a substrate or by other factors during imprint. Figure 4c improves the stamp concept of 4b since the nanopatterned layer of the stamp is composed of a different material than the soft compliant layer. The composite structure has the advantage that the pattern layer can now be made from harder material.

The stamp in figure 4d improves this concept further by introducing a thin layer of glass between the hard polymer material and the layer of s-PDMS. In this geometry, the thickness of the patterned polymer can be tuned nearly at will, and is backed by a thin glass plate (for example 50 µm thick). Since a glass layer is bonded close to the nanostructures, effectively anchoring the patterns, lateral deformation of the pattern is minimized. With this kind of stamp concept we have demonstrated registration reproducibility better than 8 ppm (80 nm/cm) from imprint-to-imprint over 3” substrate and showed that local lateral deformations are nearly non-existent (Viheriälä et. al., 2009b). We expect that three factors limited accuracy to this level; the most significant effect was the temperature variance of the clean room, which caused thermal expansion problems since glass and the substrate have different CTEs. Secondary error sources are in the overlay measurement accuracy based on use of an optical microscope, and variation in the imprint pressure. The backing glass in figure 4d is optional. When backing glass is used it eases stamp handling with some NIL-tools, but without the backing glass the stamp is fully flexible and can be easily peeled from the substrate after the imprint.

Figure 4.

Different stamp schemes.

Table 2 summarizes the main materials used in soft stamps. It should be noted that the mechanical properties of the materials may be altered to some degree by their processing conditions and mixing ratios.

Our group has used silicones Sylgard 184 (also known as s-PDMS, Dow Corning Inc., USA) and Optical Encapsulat 43 (Gelest Inc., USA) mainly as a compliant, non-patterned layer since these materials are relatively soft and durable. These materials can be spin coated onto the substrate and it is also possible to cast them into a mold. Spin coating offers good coating uniformity (>98%), but only about 30 µm layers can be coated in a single spin coat cycle. Thicker layers require multiple spin and cure cycles. Casting of thick, uniform layers is problematic even with a nearly perfectly flat mould. When we cased 2 mm thick slabs from these soft materials we observed pits and hills of several tens of microns in height. The lateral size of these features was in the sub-10 mm range. In particular pits in the compliant layer should be avoided since they will lead to the creation of areas that are not in contact during the imprint. Our observations concerning/regarding uniformity problems for compliant layers are in good agreement with reported values in reference (Glinsner et al, 2007).

s-PDMS h-PDMS Ormostamp Optical Encapsulat 43
Tensile modulus 1.8 MPa 8.2 MPa 650 MPa Not known
Elongation at break (%) 160 7 Low 75-100
Hardness (MPa) 4.77 0.02 36 Not known
Durometer, Shore A 48 Not known Not known 5-15
CTE (ppm/ C) 325 Not known, expected to be similar to s-PDMS For similar materials 60-130 Similar to Sylgard 184
Curing Temperature Temperature UV-Light Temperature
Surface energy 26 mN/m Similar to s-PDMS High Not relevant
Viscosity (mPa?s) 4575 Tunable 410-460 800-1500
Mixing Two part ( ) Four part + solvent Not needed Two part (1:1)

Table 2.

Materials used in stamp fabrication. Based on references Fuard et al. 2008, Choi & Rogers 2003, Gelest 2009, Dow Corning 2009, Klukowska et al. 2009, Micro Resist Technology 2009a and 2009b.

Sylgard 184 is widely used also as a patterned layer in the stamp and we also tested this approach. However, due to the excessive softness of the Sylgard 184 we often observed deformation of the nanopatterns during the imprint cycle, and pairing of neighboring patterns during stamp manufacturing. We believe that neighboring patterns stick together (pair), as illustrated in figure 5, because friction during the separation step generates surface charges. The generated electric field is several hundreds of volts/meter at one centimeter distance from the stamp, measured with a fieldmill-based static electric field meter. On the nanoscopic scale the field is nonuniform and causes forces strong enough to bend patterns together. Rather interestingly, as the patterns move the electric field changes, and the neighboring patterns can, under the right circumstances, change their pair. This can be observed through the optical microscope as a dynamically and chaotically changing pairing. Unfortunately, as the surface charge discharges over time, the patterns do not return to the non-paired situation, because PDMS surfaces kept in contact react chemically and are glued together. Pairing can be reduced by reduction of the aspect ratio, increase of the pattern spacing, and by using stiffer stamp materials (i.e. h-PDMS and Ormostamp). Long grating lines and tightly spaced pillars on PDMS are most prone to pairing with their neighbors. Larger patterns pair less likely than small ones, and softness of the stamp causes less deformations with microstructures than with nanostructures. For this reason Sylgard 184 is a rather popular material for micro-structuring of UV-polymers;on the nanoscale more rigid materials are required.

Figure 5.

Scanning electron microscope picture of pairing effect observed on soft stamp.

h-PDMS (aka hard-PDMS) was developed at IBM as early as 2000 (Schmid and Michel, 2000). They tried to formulate a better imprint material by trying different combinations of vinyl and hydrosilane end-linked polymers and vinyl and hydrosilane copolymers, with varying mass between cross-links and junction functionality. A nanoimprint resolution record of 2 nm (Hua et al. at 2004) was demonstrated using soft stamps based on h-PDMS. Based on Schmid’s work and our studies we started to use a formulation according to table 3. Toluene was added to h-PMDS since it has very low viscosity (0.590 mPas) and a relatively suitable dipole moment. When toluene is mixed with h-PDMS prepolymer these properties improve h-PDMS’s ability to fill all the nanocavities in the template (Kang et al. 2006, Koo et al. 2007). Toluene content in the h-PDMS can also be used to tailor the thickness of the spin coated h-PDMS,proved in our publication (Viheriälä et. al., 2009). Thickness control allows reduction of the stamp deformation in certain stamp geometries, as will be discussed later.

Ormostamp (Micro Resist Technology GmbH) is a recently developed UV-Curable inorganic-organic stamp material. It is significantly harder than h-PDMS, thus it has to be backed with soft material in order to realise robust full wafer imprinting. However, since it can be UV-cured, thermal mismatch problems observed when replicating thermally curable materials are eliminated. It is therefore clear that in applications requiring the highest overlay accuracy the best approach is to use UV-curable stamp materials. Unfortunately, not many of these materials are commercially available.

Amount Brand name Substance Role of the substance
VDT-731 ABCR GmbH Vinylmethylsiloxane-Dimethylsiloxane Prepolymer
HMS-501 ABCR GmbH Methylhydrosilane-Dimethylsiloxane Copolymer
10mg SIP6831.1 Gelest Inc. Platinumdivinyltetramethyldisiloxane complex in xylene Pt-catalyst
39mg LA16645 Sigma-Aldrich Co . 2,4,6,8 - Tetramethyl - 2,4,6, 8 - tetravinylcyclotetrasiloxane Inhibitor
For example 40 m% Toluene Methylbenzene Thinner

Table 3.

The h-PDMS recipe used by our group.

In many cases the softness of the stamp is a trade-off between process robustness against wafer non-ideality, and vertical deformation due to uneven load across the imprint field. A soft stamp improves the yield, since any possible particles deform only a small area of the imprint (see figure 6 on the left). On the other hand, the softness of the stamp complicates the process since it causes harmful bending under a locally varying load. This change of the load can be caused by the patterns in the stamp (see figure 6 on the right). The deformation can be compensated for by increasing the thickness of the resist (Viheriälä et al., 2009), as the resist layer (liquid) distributes the local pressure effectively over a large area. We have observed that low viscosity NIL-resist distributes pressure more efficiently. Although it is

Figure 6.

Figure illustrates advantages and disadvantages of soft stamps. On the left: Softness has saved the imprint, since the pattern is only destroyed over a small area. On the right: The imprint pattern is vertically deformed, since the relatively large pattern (~3 µm linewidth) does not have enough mechanical support.

possible to imprint very high resolution imprints with this stamp (we have demonstrated 24 nm linewidth in Viheriälä et al., 2008), the softness of the stamp limits the resolution of the transferred patterns in some cases. Dense and small nanopatterns are relatively straightforward to imprint with a sub-10 nm residual layer, since the stamp load is uniform across the whole imprint field. However, if an imprint contains both wide and narrow patterns, isolated patterns, or if the density of patterns changes over the imprint field, the vertical deformation of the pattern layer must be compensated by a thick residual layer. When the thick residual layer is removed, with plasma etching, the smallest patterns might be washed away since during the residual layer removing linewidth may be reduced.

The stamp concept d in figure 4 can significantly reduce the unwanted vertical deformation of the stamp, compared with other soft stamps, since the thickness of the pattern layer can be tuned (Viheriälä et al., 2009). The stamp with a thin pattern layer exhibits smaller vertical deformation on the microscopic scale. The stamp with the thinner pattern layer is therefore effectively harder than the stamp with the thick layer, although they are made from the same materials. It is worth noting that although hardness of the stamp can be tuned on the microscopic scale by tuning the h-PDMS layer thickness, on the wafer level the stamp is still fully soft since a thin layer of glass backed by a very thick elastic layer deforms easily across wide (> 100 µm) lateral scale.

In addition to optimisation of the geometry of the stamp and the properties of the resist, vertical deformation can also be alleviated by load sequence and pattern layout. Obviously, low imprint pressure causes minimal deformation, but at the same time some force is required to overcome nonflatness of the substrate. We demonstrated in reference Viheriälä et al., 2009b, that by applying a dual sequence imprint process containing first a high pressure contact step and then a low pressure deformation release step, a better overall quality was attained compared to the traditional single step process.

Many nanophotonics devices already allow reduction of the deformation in the design phase. Isolated patterns, wide patterns and patterns having density variations are the most difficult to imprint. Interestingly, the situation is similar in dry etching or in chemical mechanical planarization, which may also suffer from similar layout restrictions although the physics behind the processes is rather different. However, often it is possible to design the device layout in a way that circumvents these problems by, for example, placing dummy patterns that increase pattern density without sacrificing device functionality. As an example we present in figure 7 two different ways to realize a nanopatterned waveguide. The figure on the left shows a straightforward way to realize the component. In this case the waveguide is isolated

Spacing between parallel waveguides is 300 µm.

and surrounded by an area having zero pattern density. The layout for the waveguide on the right corrects these problems. It is surrounded by a grating having a 50% pattern density, therefore consumption of the resist and pressure are more uniform across the imprint field. As a result the layout on the left exhibits as much as 3.4 times more vertical deformation compared to layout on the right under identical imprint conditions. The curves below the scanning electron microscope images show the surface profiles of the imprint, obtained by atomic force microscope.

Figure 7.

Unoptimized pattern layout (left) versus more optimal (right). Both layouts can act as identical waveguides for distributed feedback laser diodes (DFB-LDs) but the pattern layout on the right is designed to cause less vertical deformation. Deformation of the imprint is illustrated on the surface curves below the electron microscope images. The dashed line on the electron microscope image represents the place from which the surface graph has been obtained. The letters indicate distinguishable pattern shapes, making it easier to compare graph and image.

Advertisement

3. NIL in nanophotonics applications

In chapter 3 we demonstrate the use of NIL in some applications. Chapter 3.1 demonstrates the first soft UV-NIL-based distributed feedback laser diodes (DFB-LDs) made using laterally coupled gratings. DFB-LDs emit a single longitudinal mode with narrow spectral linewidths and a low frequency chirp. These properties make them suitable for many applications, especially in optical telecommunications and optical spectroscopy, where they are used extensively. In chapter 3.2 we show how NIL can be used to make sharp metallic nanocones for controlling surface plasmons. These cones have many interesting properties for sensing and nonlinear optics, since they concentrate light on the tip of the cone, thus/thereby strongly enhancing the electric field. Chapter 3.3 illustrates the potential of NIL in a totally new class of functional optical fibres. We show the NIL can be used to pattern a functional element onto the facet of the fibre which alters the properties of light entering or exiting the fibre.

3.1. Distributed feedback laser diodes

Distributed feedback laser diodes (DFB-LDs) have a cavity consisting of a periodic structure, which forms a wavelength selective feedback mechanism. The periodic structure in DFB-LDs is normally a grating embedded within or at the side of the laser waveguide. The required period of the grating for lasers operating between 650 nm-1550 nm can be within the range of ~50 nm to 200 nm for first order gratings, and longer for higher order gratings. This resolution of these features is well within the reach of NIL.

The substrates used in the production of the DFB-LDs are relatively small (two or three inches in diameter), therefore patterning of the full wafer is possible with a single imprint. However, the large area imprint requires a flexible stamp, because wafers are rarely completely flat since laser diodes, like many other optical components, are made on substrates that are not as uniform as large area prime grade silicon or glass substrates. The total thickness variance is regularly between 5 µm and 15 µm for GaAs and InP wafers (Sumitomo, 2009). A flexible stamp is also very easy to separate from the substrate, since it bends easily with minimal force. For this reason, the fragile substrate (typically GaAs, InP or GaSb) is not damaged. Softness of the stamp makes the imprint process more robust and economical as described in subsection 2. It is worth noting that even though the fabrication process of DFB-LDs requires narrow linewidths, patterns are not very sensitive to particles because the components are small and the waveguide uses only a small area of the chip.

We used laterally coupled gratings in our DFB-LDs. These components are based on a ridge waveguide laser diode having periodically corrugated ridge sidewall, as shown in figure 8. The corrugation acts as a grating. Light propagating below the ridge waveguide experiences small refractions caused by periodic perturbation of the effective refractive index of the waveguide. This generates distributed feedback.

Figure 8.

Schematic operation principle of the laterally coupled distributed feedback laser diode.

Laterally coupled laser diodes are highly interesting in conventional applications (Abe et al. 1995), quantum cascade lasers (Williams et al. 2005 and Golga et al. 2005), terahertz generation (Pozzi et al. 2006) and photonic integrated circuits (Sorel et al. 2008). The main reasons for widespread interest towards this technology is that DFB-lasers based on laterally coupled gratings can be made without regrowth. Therefore, it can be applied to any compound semiconductor material system. Additionally, grating fabrication is only a slightly modified waveguide fabrication process, and therefore it is easily implemented on a photonic integrated circuit. It is also very easy to vary the dimensions of the waveguide and the gratings and thereby achieve complete control over the lasing mode. We show in figure 9 a DFB laser waveguide after it has been imprinted with NIL and the pattern has been transferred with dry etching to the semiconductor layers.

Figure 9.

On the left: Imprinted and etched waveguide for DFB-lasers. On the right: Wide area picture of a DFB-laser diode wafer after the imprint.

We have studied laser diodes operating at 975 nm and 894 nm wavelengths. The 975 nm laser diode was based on three InGaAs quantum wells embedded in a GaAs waveguide. The waveguide had an Al0.6Ga0.4As cladding layer, and a heavily doped GaAs contact grown on top of the cladding. We used a third order grating period (~450 nm) to keep the aspect ratio of the etching at a reasonable level (around 7.5). These lasers exhibited a high, 50 dB, side-mode suppression-ratio near the gain-grating resonance, and a 40 dB side-mode suppression-ratio across the tuning area of 3 nm. The devices exhibited a wavelength tunability of 77 pm/C. The Light-Current-Voltage relation and spectrum graph of the of one such device are shown in figure 10. The demonstrated laser diode is the first one fabricated with soft UV-NIL.

Figure 10.

On the left: Light-Current-Voltage behavior of the DFB laser diode showing threshold current of 30 mA and slope efficiency of 0.35 W/A. On the right: Spectrum of the device measured at 5 mW, 10 mW and 15 mW output power.

Our lasers operating at 894 nm are designed for pumping the D1 transition of Cs-atoms. They are based on a single GaInAs quantum well embedded in a GaInP-waveguide. The waveguide had an Al0.7Ga0.3As cladding layer, and a heavily doped GaAs contact grown on top of the cladding. Grating periods of 418.6 nm and 421.4 nm produce resonances at 888 nm and 894 nm, respectively. Tunability of the laser is 89 pm/C. The Light-Current-Voltage relation and spectrum graph of one of such is illustrated in figure 11.

Figure 11.

On the left: Light-Current-Voltage behavior of the DFB laser diode showing threshold current of 15 mA and slope efficiency of 0.7 W/A. On the right: Spectrum of the device showing the tunability around the D1 transition of Cs-atoms.

3.2. Plasmonic nanostructures

In recent years metallic nanostructures have been under intense investigation in the field of nanophotonics as they enable the manipulation of light beyond the diffraction limit (Nature Photonics 2008). In particular sharp particles are particularry attractive, as they can produce highly localized electromagnetic fields due to a combination of plasmon resonances and the so-called lightning rod effect. Strong local fields enhance light-matter interactions and have various applications in tip-enhanced near-field microscopy, sensing, and nanofocusing of light.

The main challenge with these nanostructures is their fabrication, especially in large volumes. Electron beam lithography and focused ion beam (FIB) etching offer fast ways to producee plasmonic structures, but they have limitations in the large volume patterning needed for commercial applications. Here nanoimprint lithography has an advantage. It offers resolution on the sub 10-nm scale and also enables rapid fabrication on the wafer scale with low cost lithography equipment. The pattern can be replicated hundreds of times from the same stamp. NIL is also much less damaging to the substrate compared to FIB, an essential feature in patterning on top of compound semiconductor quantum well and dot structures.

Figure 12.

The principle of nanocone fabrication by NIL.

Using nanoimprint lithography we have fabricated conical nanostructures, nanocones, with sharp tips and good uniformity (Fig. 13). In our tests we used a stamp with a 4 cm2 pattern area for imprinting. The final wafer consisted of ~4,0 x 109 nanocones and the yield of the unoptimized process was 95 %. The principle of nanocone formation is similar to that used to fabricate Spindt-type field emitters (Fig. 11, Spindt et al. 1968). Although the fabrication process is quite simple and well-known in field emission applications, to the best of our knowledge it has not been exploited in plasmonic applications. We demonstrated that the nanocones lead to strongly localized electric fields which enhance nonlinear optical properties (Kontio et al. 2009a). The second-harmonic (SH) signal was enhanced by a factor of 150 compared to gold nanoparticles (half-cones) with the same period and base diameter, but without a sharp tip (Fig. 13). Evidently the strongly localized electromagnetic field of the fundamental beam enhances the SH signal. Possible application areas for metallic nanocones include tip probes, sensors and metamaterials. We have also fabricated nanocones from several different metals (Ag, Al, Au, Cr, Ge, Ni, Pt, and Ti) (Kontio et al. 2009b). The aspect ratio and overall quality strongly depends on the evaporated material.

Figure 13.

On the left: A SEM image of an array of nanocones with a period of 300 nm, base diameter 130 nm, and height 290 nm. On the right: A line scan of the second-harmonic signal from the sharp nanocones and half-cones.

3.3. Patterned facets of optical fibres

Micro- and nanopattered surfaces of optical fibre can operate as various miniature optical elements. They can modify the propagation of light by diffracting, collimating, shaping, or focusing it. A properly designed optical element on the facet of an optical fibre improves the functionality of the fibre without compromising the compactness of an optical system. Miniaturized elements could subsequently be used for building miniature spectrometers, sensors, and other devices. However, until now suitable nano- and microfabrication methods that would allow efficient fabrication of such fibres have not existed.

So far, one simple optical element that can be prepared on the tip of a fibre is a lens. The lens may be made by grinding or melting the end of the fibre, or combining segments of fibres with different refractive index profiles (Shiraishi et al. 1997 and Yeh et al. 2004). More complex elements containing small features are made by micro- and nanopatterning using focused ion beam lithography or electron beam lithography (Giannini et al. 2000 and Schiappelli et al. 2003). These direct writing methods are expensive to deploy and capital investments are high. Moreover, their use for any small substrate, such as the facet of an optical fibre, is challenging.

We have demonstrated the world’s first surface reliefs fabricated by NIL on the facet of a single fibre by (Viheriälä et al. 2007). The method utilized UV-curable polymer that was deposited on the facet by dip coating. Although dip coating delivers a rather non-repeatable quantity of polymer on the facet, due to the small size of the fibre it is possible to press excess low viscosity polymer away from the facet. We used polymer relief as the functional element. This application only requires a simple imprint setup. The set-up is built built on an optical table, and includes a stamp holder and micromanipulator for bringing fibre and stamp into contact. A microscope was used to monitor the contact between the stamp and the fibre in situ, since excess contact force easily bends the fibre between the fibre chuck and the contact point. Polymer between the fibre and the stamp was cured with fibre-coupled UV-source delivering immense UV-intensity of 8 W/cm2 Intensities this high cure the UV-NIL-polymer nearly instantaneously.

Using this simple set-up we patterned two sets of fibre facets. We used a standard single-mode fibre (Corning SMF-28). The first set of samples was patterned using a commercially available blazed grating with 830 lines / mm (Optometrics Corp). The second set of patterns consisted of holes with diameters of 250 nm, arranged in a square lattice with a period of 500 nm. The blazed grating was used in order to study the diffraction efficiency of the imprint. The grating efficiency was defined as the power of the first-order diffraction mode over the total light power in the modes. Efficiency versus wavelength graph is plotted in figure 14.

Figure 14.

On left: SEM image illustrating the facet of the optical fibre with the imprinted blazed grating. Insert: Close up near the fibre edge. On right: Graph of diffraction efficiency, and image from the output of the fibre when white light is launched into fibre.

We also demonstrated that nanopatterning of the fibre tip is possible. We used a stamp having 250 nm holes in a grid with a 500 nm period. The final structure showed good uniformity. The standard deviance for the diameter of the holes was below 7 nm, as analyzed from SEM images near the core of the fibre. We expect that that main mechanism causing this diameter deviation was the template having standard deviation of this magnitude. The very accurate replica obtained provides clear-cut evidence that UV-NIL can produce flawless sub-wavelength features on a small area fibre facet. In work published later, similar methods were also employed by other groups in order to fabricate fibre probes for on-wafer optical probing (Scheerlinck et al., 2008) and to make fibres with integrated surface enhanced Raman scattering sensors on their facet (Kostovski et al., 2009).

Advertisement

4. Conclusion

Nanophotonics is a rapidly growing field with great commercial potential. However, it is not yet clear how fabrication for a myriad of different applications can be scaled up. The electronics industry has developed its own fabrication methods largely around optical lithography but it is clear that the same model can not automatically be used for photonics fabrication. The field of nanophotonics is much more fragmented, less standardized, and requires different technical specifications than electronics. We expect that NIL will play an important role in the commercialization of many nanophotonics applications since it offers excellent cost effectiveness and requires relatively low capital investment. We argue that in many applications in particular UV-NIL based on soft working stamps is the best approach, since it offers perhaps the best cost effectiveness. However, like any technology soft UV-NIL has to be understood thoroughly before being applied to fabrication. We have underlined some of the keys issues one may encounter when UV-NIL, and especially soft UV-NIL, is applied and shown that, when NIL is mastered, it is possible to use it to demonstrate various imprinted components.

Advertisement

Acknowledgments

The authors wish to acknowledge financial support from the Finnish Funding Agency for Technology and Innovation within the projects Nanophotonics (161147-2) and Nano Extension (40149/08), the European Space Agency within the project ESA GSTP (21173/07/NL/PA), the EU within the FP7 project DeLight (224366) and the Academy of Finland in the project A-Plan (123109) and Lightcaviti (115428). Jukka Viheriälä also wishes to acknowledge the Ulla Tuominen Foundation, the Foundation for Financial and Technical Sciences, the Finnish Foundation for Technical Promotion, the Cultural Foundation and the National Graduate School in Materials Physics.

The authors also wish to thank MSc Tuomo Rytkönen, Mr Juha Tommila and Ms Milla-Riina Viljanen for their invaluable work with Nanoimprint Lithograpy, Mr Aki Wallenius and Mr Jarkko Telkkälä for their work with DFB-Laser diodes, and MSc Kimmo Harring for skillful preparation of optical coatings. Dr Charis Reith has had an important role in proofreading the English text. Without support from the epitaxy group - Dr Tomi Leinonen, MSc Lauri Toikkanen, MSc Teemu Hakkarainen and Ms Sanna Ranta - work with laser diodes would have been impossible. Optical design of the laser diodes was carried out by MSc Antti Laakso and Dr Mihail Dumitrescu. The authors acknowledge Dr Janne Simonen and Dr Mihail Dumitrescu as important forces in driving plasmonics and laser diode research forward. Finally we wish to acknowledge people that have prepared various NIL templates for our activities. Of these people we wish to especially acknowledge the University of Joensuu physics department : Prof Markku Kuittinen, Dr Hemmo Tuovinen, Dr Janne Laukkanen, MSc Kari Leinonen, MSc Ismo Vartiainen and XLith GmbH, AMO GmbH and Chalmers technical university.

References

  1. 1. Abe H. Ayling S. G. Marsh J. H. De La Rue R. M., and Roberts J. S. 1995 Single-mode operation of a surface grating distributed feedback GaAs-AlGaAs laser with variable-width waveguide, IEEE Photon Technol. Lett., 7 5 452 454
  2. 2. Austin M. D. Zhang W. Ge H. Wasserman D. Lyon S. A. Chou S. Y. 2005 6 nm half-pitch lines and 0.04 µm2 static random access memory patterns by nanoimprint lithography, Nanotechnology, 16 1058 1061
  3. 3. ASML 2009 ASML, TWINSCAN NXT:1950i specifications
  4. 4. Bird R. B. Armstrong R. C. Hassager O. 1977 Dynamics of Polymer Liquids, Fluid Mechanics, 1 John Wiley & Sons, 1977
  5. 5. Brooks C. Schmid G. Miller M. La Brake D. Hofemann P. Resnick D. Sreenivasan S. V. 2009 Patterned Media Using Step and Flash Imprint Lithography, SPIE Advaned Lithography Conf., Alternative Lithographic Technologies, Proc. of SPIE, 7271 72711L, San Jose, USA, 2009
  6. 6. Choi K.M Rogers J.A. 2003 A Photocurable Poly(dimethylsiloxane) Chemistry Designed for Soft Lithographic Molding and Printing in the Nanometer Regime, J. Am. Chem. Soc., 125 14 4060 4061
  7. 7. Chou S. Y. Krauss P. R. Renstrom P. J. 1995 Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67 3114 3116
  8. 8. Chou S. Y. Krauss P. R. Zhang W. Guo L. Zhuang L. 1997 Sub-10nm imprint lithography and applications, J. Vac. Sci. Technology B, 15 2897 2904
  9. 9. Dow Corning 2009 Sylgard® 184 silicone elastomer kit, product datasheets, electronics
  10. 10. French R. H. Sewell H. Yang M. K. Peng S. Mc Cafferty D. C. Qiu W. Wheland R. C. Lemon M. F. Markoya L. Crawford M. K. 2005 Imaging of 32-nm 1:1 lines and spaces using 193-nm immersion interference lithography with second-generation immersion fluids to achieve a numerical aperture of 1.5 and a k1 of 0.25, Journal of Micro/Nanolithography, MEMS, and MOEMS, 4 3 031103
  11. 11. Fuard D. Tzvetkova-Chevolleau T. Decossas S. Tracqui P. Schiavone P. 2008 Optimization of poly-di-methyl-siloxane (PDMS) substrates for studying cellular adhesion and motility, Microelectronic Engineering, 85 5-6 , 1289 1293
  12. 12. Gelest Inc. 2009 Silicone coatings, encapsulats, gels. Performance property guide for Dielectric, Optical, Thermal and Mechanical applications
  13. 13. Giannini G. Mastrogiacomo L. Cabrini S. Gerardino A. Nottola A. Foglietti V. Cianci E. 2000 Microfabrication of diffractive optical elements onto the active region of optical fiber termination, in Proc. MICRO tec.2000.-VDE World Microtechnologies Congress, 2 695 697 , Berlin, Germany, 2000
  14. 14. Glinsner T. Plachetka U. Matthias T. Wimplinger M. Lindner P. 2007 Soft UV-based nanoimprint lithography for large-area imprinting applications, Emerging Lithographic Technologies XI. Proceedings of the SPIE, 6517 651718
  15. 15. Golka S. Pflügl C. Schrenk W. Strasser G. 2005 Quantum cascade lasers with lateral double-sided distributed feedback grating, Appl. Phys. Lett. 86 111103
  16. 16. Houle F. A. Rettner C. T. Miller D. C. Sooriyakumaran R. 2007 Antiadhesion considerations for UV nanoimprint lithography, Appl. Phys. Lett., 90 213103
  17. 17. Hua F. Sun Y. Gaur A. Meitl M. A. Bilhaut L. Rotkina L. Wang J. Geil P. Shim M. Rogers J. A. 2004 Polymer Imprint Lithography with Molecular-Scale Resolution, Nano Letters, 4 12 2467 2471
  18. 18. Kang H. Lee J. Park J. Lee H. H. 2006 An improved method of preparing composite poly(dimethylsiloxane) moulds, Nanotechnology, 17 197 200
  19. 19. Anna Klukowska. A. Kolander A. Bergmair I. Mühlberger M. Leichtfried H. Reuther F. Grützner G. Schöftner R. 2009 Novel transparent hybrid polymer working stamp for UV-imprinting, Microelectronic Engineering, 86 4-6 , 697 699
  20. 20. Kontio J. M. Husu H. Simonen J. Huttunen M. J. Tommila J. Pessa M. Kauranen M. 2009a Nanoimprint fabrication of gold nanocones with ~10 nm tips for enhanced optical interactions, Optics Letters, 34 1979 1981
  21. 21. Kontio J. M. Simonen J. Tommila J. Pessa M. 2009b Arrays of metallic nanocones fabricated by UV-nanoimprint lithography, Article in Press, Microelectronic Engineering
  22. 22. Koo N. Bender M. Plachetka U. Fuchs A. Wahlbrink T. Bolten J. Kurz H. 2007 Improved mold fabrication for the definition of high quality nanopatterns by Soft UV-Nanoimprint lithography using diluted PDMS material, Microelectronic Engineering, 84 904 908 ,
  23. 23. Kostovski G. White D. J. Mitchell A. Austin M. W. Stoddart P. R. 2009 Nanoimprinted optical fibres: Biotemplated nanostructures for SERS sensing, Biosensors and Bioelectronics, 24 5 1531 1535
  24. 24. Levola T. Laakkonen P. 2007 Replicated slanted gratings with a high refractive index material for in and outcoupling of light, Optics Express, 15 2067 2074
  25. 25. Nature Photonics Issue “Antennas of Light” 2008 Nature Photonics, 4 199
  26. 26. Petric P. Bevis C. Brodie A. Carroll A. Cheung A. Grella L. Mc Cord M. Percy H. Standiford K. Zywno M. 2009 REBL Nanowriter: Reflective Electron Beam Lithography, Advanced Lithography, Proc. of SPIE 7271 727107-1, San Jose, USA, 2009
  27. 27. Pozzi F. De La Rue R. M. Sorel M. 2006 Dual-Wavelength InAlGaAs-InP Laterally Coupled Distributed Feedback Laser, IEEE Photon Technol. Lett., 18 24 2563 2565 ,
  28. 28. Mapper Lithography 2009 Press Release 2008, http://www.mapperlithography.com/, Checked 1.6.2009
  29. 29. Micro Resist Technology 2009a Preliminary process guidelines, contact person: Anna Klukowska
  30. 30. Micro Resist Technology 2009b Ormocer®s (hybrid polymers) for micro optics, product flyer
  31. 31. Scheerlinck S. Taillaert D. Van Thourhout D. Baets R. 2008 Flexible metal grating based optical fiber probe for photonic integrated circuits, Appl. Phys. Lett., 92 031104
  32. 32. Schiappelli F. Prasciolu M. Cojoc D. Cabrini and Di Fabrizio E. 2003 Design and fabrication of lenses on the top of an optical fiber for efficient fiber-to-waveguide coupling by means of Focus Ion Beam (FIB) lithography, in Dig. of Papers Microprocesses and Nanotechnology, 166 167 ., Int. Microprocesses and Nanotechnol. Conf., Tokyo, 2003
  33. 33. Schmid H. Michel B. 2000 Siloxane Polymers for High-Resolution, High-Accuracy Soft Lithography. Macromolecules, 33 3042 3049
  34. 34. Schuster C. Reuther F. Kolander A. Gruetzner G. 2009 mr-NIL 6000LT- Epoxy-based curing resist for combined thermal and UV nanoimprint lithography below 50 C, Microelectronic Engineering, 86 4-6 , 722 725
  35. 35. Shiraishi K. Ohnuki H. Hiraguri N. Matsumura K. Ohishi I. Morichi H. Kazami H. 1997 J. Lightw. Technol., 15 1997, 356 363 .
  36. 36. Sorel M. Strain M. Mezosi G. 2008 Semiconductor ring lasers for ultra fast all-optical digital processing, European Semiconductor Laser Workshop 2008, Eindhoven, Netherlands, 9th- 20th September, 2008
  37. 37. Solak H. H. Ekinci Y. Käser P. Park S. 2007 Photon-beam lithography reaches 12.5 nm half-pitch resolution, J. Vac. Sci. Technol. B, 25 1 91 95
  38. 38. Spindt C.A. 1968 A Thin-Film Field-Emission Cathode, Journal of Applied Physics, 39 3504
  39. 39. Sreenivasan S. V. Schimaker P. Mokaberi B. Choi J. Perez J. Truskett V. Xu F. Lu X. 2009 Recent Developments in UV Nanoimprint Stepper Technology for Sub-30nm Half-Pitch Lithography, SPIE Advaned Lithography Conf., Proc. of SPIE 7271 San Jose, USA, February, 2009
  40. 40. Sumitomo 2009 Sumitomo Electric, GaAs and InP wafer flatness specifications, 19.1.2009. “http://www.sei.co.jp/sc/products_e/gaas/pdf/materials07_08.pdf”
  41. 41. Suss Microtech 2009 Spesfications for automatic and semiautomatic mask aligners
  42. 42. Technology review 2003 10 Emerging Technologies That Will Change the World, Technology review, 38 Ferbruary 2003
  43. 43. Viheriälä J. Niemi T. Kontio J. Rytkönen T. Pessa M. 2007 Fabrication of surface reliefs on facets of singlemode optical fibres using nanoimprint lithography, Electronics letters, 43 3 150 152
  44. 44. Viheriälä J. Rytkönen T. Niemi T. Pessa M. 2008 Narrow linewidth templates for nanoimprint lithography utilizing conformal deposition, Nanotechnology, 19 015302
  45. 45. Viheriälä J. Tommila J. Leinonen T. Dumitrescu M. Toikkanen L. Niemi T. Pessa M. 2009 Applications of UV-nanoimprint soft stamps in fabrication of single-frequency diode lasers, Microelectronic Engineering, 86 3 321 324
  46. 46. Viheriälä J. Viljanen M. R. Kontio J. Leinonen T. Tommila J. Dumitrescu M. Niemi T. Pessa M. 2009b Soft Stamp UV-Nanoimprint Lithography for Fabrication of Laser diodes, Journal of Micro/Nanolithography, MEMS, and MOEMS, 8 033004
  47. 47. Wieland M. J. de Boer G. ten Berge. G. F. Jager R. van de Peut T. Peijster J. J. M. Slot E. Steenbrink S. W. H. K. Teepen T. F. van Veen A. H. V. Kampherbeek B. J. 2009 MAPPER: High throughput maskless lithography, Alternative Lithographic Technologies, Proc. of SPIE 7271 72710O, San Jose, USA, 2009
  48. 48. Williams B. S. Kumar S. John Q. H. Reno L. 2005 Distributed-feedback terahertz quantum-cascade lasers with laterally corrugated metal waveguides, Optics Letters, 30 21 2909 2911
  49. 49. Yeh S. M. Lu Y. K. Huang S. Y. Lin H. H. Hsieh C. H. Cheng W. H. 2004 A novel scheme of lensed fiber employing a quadrangular-pyramid-shaped fiber endface for coupling between high-power laser diodes and single-mode fibers, J. Lightw. Technol., 22 5 1374 1379 .

Notes

  • Droplet dispensing is also known as step and flash imprint lithography (S-FIL) or jet and flash imprint lithography (J-FIL).
  • A uniform 100 nm layer requires just 10 nl / cm2 of polymer.
  • Spacing between parallel waveguides is 300 µm.

Written By

Jukka Viheriälä, Tapio Niemi, Juha Kontio and Markus Pessa

Published: 01 January 2010