Open access peer-reviewed chapter

Electrical Transport Modeling of Graphene-Based Interconnects

Written By

Youssef Nadir, Hassan Belahrach, Abdelilah Ghammaz, Aze-eddine Naamane and Mohammed Radouani

Submitted: 10 May 2022 Reviewed: 18 May 2022 Published: 02 September 2022

DOI: 10.5772/intechopen.105456

From the Edited Volume

Carbon Nanotubes - Recent Advances, New Perspectives and Potential Applications

Edited by Mohammed Muzibur Rahman, Abdullah Mohammed Asiri and Mohammad Asaduzzaman Chowdhury

Chapter metrics overview

127 Chapter Downloads

View Full Metrics

Abstract

Due to the downscaling in the design of modern ICs, copper interconnects reach their limitations such as delay, power dissipation, and electromigration. However, a new era of discovered materials, including carbon nanotube, graphene nanoribbon (GNR), and their composite, has been proposed as promising alternatives for interconnect applications. The purpose of this review is to give an overview of the various approaches that are used to model graphene-based interconnects. In this work we focus on why opting for graphene-based interconnect properties as an alternative for copper interconnect replacement; what are the deep theories, which are explaining the electrical transport on those interconnects; and what are the electrical models that are used to model the various kind of graphene-based interconnects.

Keywords

  • graphene-based interconnects
  • carbon nanotube (CNT)
  • graphene nanoribbon (GNR)
  • carbon composite
  • circuit modeling
  • interconnects

1. Introduction

Following Moore’s law defined in 1965, which stipulates that the density of an IC doubles every 18 months while the cost comes down [1], the chip manufacturers have opted for the miniaturization of the microelectronics components [2], as an answer to the high demand for high-performance devices in the microelectronics industry. Nowadays, as shown in Figure 1 and as stipulated in the recent IRDS metrics [3], there is a need to increase the number of electronic components in several technologies such as mobile systems, cloud systems, internet-of-things edge (IoTe) devices that consist of sensing, computation, security, storage, wireless communication, and cyber-physical systems (CPS) that provide real-time control for physical plants, vehicles, and industrial system (Figure 1).

Figure 1.

Electronic components requirements (a) CPU’s (b) sensors [3].

Indeed, to realize the previous IRDS requirements with respect to Moore’s law, we need to talk about a new era of specialization that treats nanoelectronics, nanodevices, and nanoscale interconnects.

The current nanoscale regime differentiates between three kinds of interconnects, namely, local, intermediate, and global, as indicated in Figure 2.

Figure 2.

Cross-section of interconnects in modern VLSI device [4].

Indeed, to reach that scale, the first interconnect technology was based on aluminum and SiO2 as an insulator, but with rapid scaling, this technology suffered from high resistivity, which impacted the reliability of VLSI circuits. Later, the industry elected gold, silver, and copper as potential substitutes, since they have an electrical resistivity lower than aluminum, as shown in Figure 3.

Figure 3.

Bulk resistivity of certain metals [5].

Gold has a higher resistance to electromigration and shows a little improvement in resistivity in addition to its higher required cost, while silver has a low resistivity but has low resistance to electromigration. On the other hand, copper triumphed over aluminum due to its resistivity, which approximates half of the one of aluminum. Nowadays, Cu is still the standard metal used on on-chip interconnect materials for advanced integrated circuits [6], but with the continuous downsizing of the IC scale, copper will be limited beyond the 14 nm technology node [7], due to its weakness related to the higher resistivity [8], power dissipation, propagation delay, and lower resistance to electromigration phenomenon [5]. In addition, some studies demonstrate that the maximum limit of current density (6.106 A/cm2) that can be carried by copper conductors has been exceeded due to the electromigration phenomenon [9]. To get over the copper deficiencies, researchers have proposed graphene-based interconnects such as carbon nanotubes (CNTs) or a composite formed either by CNTs and copper (Cu-CNTs) or graphene nanoribbons (GNR), and copper as a replacement option for copper since they present potential of higher performance, as described in Table 1.

CuCNTGNRCu–CNT
Maximum current density (A/cm2)<1.107>1.109>1086.108
Thermal conductivity (W/mK)385(3–10).103(3 _5).103∼800
Conductivity (S/cm)5.8.105(2.7–7).1052.6.104(2.3–4.7).105

Table 1.

Summary of some electrical properties of Cu, CNT, GNR, and Cu-CNT [10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27].

While there have been several review articles published over the recent years related to nanocarbon circuit modeling, in addition to the leak of updates on the previous survey, there is no one showing the analytical model explaining the electrical transport inside graphene-based interconnects, hence the importance of this work. In this book chapter, we overview the reason for the evolution of the graphene-based interconnects as a potential alternative for copper defects. The remaining parts of the chapter are organized as follows. Properties of carbon nanotube, graphene, and copper composites are described in Section 2. Section 3 presents the two theory modeling analytically the electrical transport inside nanowires. Section 4 discusses several compact models of CNTs proposed by the previous research. Finally, Section 5 concludes the review with some perspectives.

Advertisement

2. Properties of graphene-based interconnects and their composite

There are different electrically conductive allotropes of carbon in nature, for instance, “fullerenes,” “graphene,” “graphite,” and “diamond.” The roll-up of a single layer of carbon atoms locked in a hexagonal grid “graphene sheet” creates carbon nanotubes (CNTs), which can be either metallic or semiconductor depending on their chirality. In fact, since the discovery of single-shell carbon nanotubes by Ijima and Ichihashi in 1993, research has distinguished three kinds of CNTs—single-walled CNTs (SWCNTs), multi-walled CNTs (MWCNTs), which consists of concentrical nested CNTs, and double-walled CNTs, which is a particular case of the second type. The CNTs have presented interesting properties such as a thermal conductivity higher than 3500 W/mK, lightweight (∼2 g/cm3) [28], higher corrosion resistance [29], a current density in excess of 4.109 A/cm2 [7], and an electrical conductivity approximating 107 S/m, as shown in Table 2. The CNTs are not fabricated by a natural process and therefore they can be synthesized following various experimental methods either physical one, such as the arc discharge (AD) [30, 31] and laser ablation (LA) [32, 33], or chemical one, such as various techniques for chemical vapor deposition (CVD)[34, 35, 36, 37].

Dominant CNT typeCNT length [μm]Tensile strength [GPa]Electrical conductivity [S/m]
Lintec: dry spun from a CNT forest [11]MWNT<500<1105
DexMat: wet spun from a CNT acid solution [12]DWNT<200.4–2.8(3–10).106

Table 2.

Properties of some available commercial CNTs.

Graphene, on the other hand, is another alternative option to potentially replace Cu for interconnects [13]. It is a 2D monolayer of carbon disposed into a honeycomb lattice, and as shown in Figure 4a, a quasi-1D graphene nanoribbon can be used as interconnects [14]. It was first isolated in 2004 by Geim and Novoselov by mechanical exfoliation of graphite crystals using an adhesive tape method [16], and their work was honored with the 2010 Nobel Prize in Physics. Further studies showed that it can be fabricated by unzipping the CNTs. The comparison of the CNTs with GNRs shows that the second material can be easily controlled horizontally and is more compatible with conventional lithography [15].

Figure 4.

Schematics of (a) GNR, (b) SWCNT, and (c) MWCNT [17].

Additionally, composite-based CNTs are supposed to substitute copper interconnect in the near future. Indeed, copper-CNT composite is manufactured by electrodepositing Cu into prefabricated CNTs. Studies show that Cu-CNT with a lighter weight has a conductivity, which is higher 100 times than copper at a specific temperature. In plus, high electromigration resistance was also noticed by Cheng et al. [38].

Moreover, according to Cheng et al. [38], another copper composite encapsulating by a few layers of graphene forms a sort of barrier and is predicted as a substitute for copper. Studies have shown that the preceding composite shows a low-resistance parallel conducting path for signal conduction. In fact, graphene in itself has physical and electrical properties similar to CNTs [26], but with the advantage to be easy for fabrication. Studies affirm their fabrication either using chemical vapor deposition (CVD) and by intercalation doping by FeCl3 [39] or by unzipping CNTs. Further, by conducting various experiments, the current density of doped multi-layer GNRs was found to be 2.108 A/cm2.

Advertisement

3. Analytical model for nanowires

In the literature, two kinds of analytical transport theory have been demonstrated. One is called the semiclassical transport theory based on the Boltzmann transport equation (BTE) and is widely used since it gives an explanation of modeling nanowires as R, L, and C transmission lines. The other one is more complex; it is known as the quantum transport theory and uses a modified Schrödinger equation to explain the quantum transport in nanowires.

3.1 Semiclassical transport model

3.1.1 Boltzmann Transport Equation

Since the nanoscale is comparable to the phonon mean free path, it is mandatory to use the Boltzmann transport equation (BTE). There are many numerical methods that have been applied to solve it, such as the lattice Boltzmann method, Monte Carlo method, and discrete ordinates method (DOM) [40]. The BTE is written as [41]:

ft+v.feξ.kf=SopfE1

where v,e,h,ξ,f, and Sopf are the electron velocity, the electron charge, the plank constant, the electrostatic field, the carrier distribution function, and the complex scattering processes that continually redistribute electrons among the available velocity states, respectively.

The carrier distribution function f=fxkt depends explicitly on the position x, the wave vector k, and time t. By projecting on the x-axis, we obtain:

ft+v.fxeξ.fk=SopfE2

In addition, the total energy variable E is written as:

E=emk+UxtμxtE3

where emk,Uxt, and μxt are the wave energy, the electrostatic potential, and the electrochemical potential, respectively. We can now rewrite the second and the third term of (2) by introducing f0 is the equilibrium Fermi Function:

v.fx=v.f0x=v.f0E.Ex=v.f0E.UμxE4

and:

eξ.fk=e.ξ.f0k=Ux.f0k=Ux.f0E.EkE5

where the partial difference of the electrostatic potential can be written as:

e.ξ=UxE6

In relativistic kinetics, the energy is written as:

E=p.v=.k.vE7

where p is the wave pseudo-momentum.

If we replace (7) in (5), we get:

eξ.fk=v.Ux.f0EE8

Knowing (4) and (8), (2) can be written as:

ft+v.f0E.Uμxv.Ux.f0E=SopfE9

Thus:

ft+v.f0E.μx=SopfE10

We may take into consideration the magnetic potentialA; in this case, (1) will be written as [41]:

ft+v.f0E.µx+At=SopfE11

If we multiply each side of the previous equation by the following sum over k-space ke.vL and write:

A=LM.IE12

where L,LM, and I are the wire’s length, the magnetic inductance, and the current intensity, respectively.

We define the kinetic inductance as:

ke.vL.v.f0E=1LKE13

and τ is the relaxation time that describes how fast the system reaches thermodynamic equilibrium, we write:

ke.vL.Sop.f=IτE14

We notice the writing of the current intensity I:

ke.vL.ft=ItE15

In sum, we get the following equation:

1+LMLKIt+Iτ=1LK.µexE16

By defining (R=LKτ) and (ϕn=µe), (16) is written under the following form:

LM+LKIt+RI=ϕnxE17

The first conclusion is that the previous equation shows that a nanowire may be modeled as equivalent to a series R, L circuit.

3.1.2 Maxwell equations

The Maxwell-Ampere equation is written as:

rotB=µ0j+µ0ε0EtE18

We apply the divergence to the previous equation, by noticing (div(rotB)=0), then we write:

µ0divj+µ0ε0div(E)t=0E19

In addition, the Maxwell-Gauss is written as:

div(E)=ρε0E20

By placing (20) in (19), we write:

jx=ρtE21

By defining the charge density per unit length δl:

δρ=eδnδlE22

and:

eδn=CEδUeE23

where n is the electron density and CE is the electrostatic capacity, and (21) will be written as:

Ix=CEUetE24

We pose:

CQ=e2nEE25

Thus:

eδn=CQδEe=CQδUμeE26

Putting in Eqs. (23) and (26), we write:

δU=CQCE+CQδµE27

Replacing (27) in (24) and defining (µe=ϕn), we get the following:

Ix=CQCECE+CQµetE28

Finally:

Ix=CQCECE+CQϕntE29

The previous equations demonstrate that any model of a nanowire CNTs or graphene-based interconnects might be studied by considering it as a transmission line composed by a resistance, electrostatic inductance, magnetic inductance, electrostatic capacitance, and a quantum one. Secondly, the resolution of the telegrapher’s equations may be used to overcome the electrical or magnetic nanowire-based phenomenon. To do so we may use a statistical method, such as the Monte Carlo method, a temporal analysis method, such as the finite difference time domain, or a direct one, for instance, the Bessel line or Arnold method.

3.2 Quantum transport model

Quantum transport has been modeled by the non-equilibrium Green’s function (NEGF) method, which was introduced in the 1960s by the classic work of Martin, Schwinger [42], Kadanoff, Baym [43], Keldysh [44], and others and has been discussed in many review articles, such as Danielewicz [45] and Mahan [46]. After the advent of mesoscopic physics in the 1980s, this method was combined with the Landauer approach [47, 48, 49], and this “NEGF-Landauer method” has been widely used in the field of nanoelectronics for device modeling and technology development [50]. Indeed, this approach consists of studying the transport by starting from the usual time-independent Schrödinger equation:

=HψE30

And by transforming the previous equation to the matrix and adding two terms representing the outflow and inflow from the contact, and noting [I] and [H], respectively, the identity and Hamiltonian matrix, we get:

EIψ=Hψ+ΣψOUT FLOW+sINFLOWE31

Using this modified Schrödinger equation, the wave function can be written as:

ψ=EIHΣ1sE32

By defining:

GR=EIHΣ1E33

We will have:

ψ=GRsE34

We define as well:

GA=GR+E35
Gnψψ+E36
Σinss+E37

where the sign + represents the conjugate transpose of the matrix, by multiplying (34) by its conjugate transpose we get:

ψψ+Gn/2π=GRss+Σin/2πGAE38

Thus, we have obtained the non-equilibrium Green function:

Gn=GRΣinGAE39

In this equation, the wave function is the one-electron wave function whose square gives the probability of finding an electron. When averaged over all electrons in an ensemble, it gives the electron density.

To obtain the current equation, we start from the time-dependent version of the modified Schrödinger equation:

iddtψ=H+ψ+sE40

And the conjugate transpose form of the previous equation may be written as:

iddtψ+=ψ+H+++s+E41

Thus, we obtain:

iddtψψ+=iddtψψ++ψiddtψ+E42

and:

ddtψψ+=H+ψψ+ψψ+H+++ss+GAGRss+iE43

We define: ψψ+=Gn2π and: ss+=Σin2π.

The Eq. (43) will be written as:

ddtψψ+=H+GnGnH+++ΣinGAGRΣini2πE44

To find the change of any quantity, we can multiply by the corresponding operator Qop and take the trace to obtain:

dQopdt=ihTraceHGnGnH+GnGn+Qop+ΣinGAGRΣinQopE45

For instance, we could define the terminal current operator Iop as:

Iop=ihGnGn++ΣinGAGRΣinE46
Advertisement

4. Compact model

4.1 Single-walled carbon nanotube models

The first model based on the Luttinger liquid theory has been developed by Burke. Indeed, he has modeled the nanotube as a nano-transmission line with distributed kinetic and magnetic inductance as well as distributed quantum and electrostatic capacitance but it has neglected the resistance effect, which may lead to several incoherent results when stimulated. The model proposed by Salahuddin et al. [41] is more accurate since it takes into consideration the resistance effect of the nanotube, it modeled the CNTs as an (R, L, and C) transmission line. Their research distinguishes two modes of resistance behavior, one in the low field, where only acoustic phonons are effective, and the other one in the high field, where the optical phonons have consistent effects. Other models [51] have considered the same transmission line model for SWCNTs; thus, they demonstrate that the quantum resistance of SWCNTs is equal to 6.45 KΩ [52], which stays quite high for a copper interconnection substitute. It can be only used for some specific applications such as short local interconnects where the capacitance plays a key role [53], subthreshold circuits, and sub-10 nm circuits [54, 55, 56]. The third model is a modeling of two SWNTs in parallel over ground, the idea behind this model is to reduce the total quantum resistance. Indeed, in this case, the resistance is considered to vary according to the comparison between length and the mean free path, which may vary between λlow1.6μm and λhigh26nm [57]. In fact, the resistance is length independent at a small size to indicate the ballistic electronic transport phenomenon, and at a large scale, the resistance is length dependent due to the scattering mechanisms. The absence of magnetic inductance in this model due to its neglect in front of the kinetic inductance may lead to incoherence results, particularly in the case of the presence of external magnetic field.

4.2 Multi-walled carbon nanotube models

The DWCNTs have been modeled by Rossi et al. [59] similarly to two SWCNTs in parallel by the addition of an inter-shell resistance Rt, which has a value of 10 KΩ/μm, and neglecting the magnetic inductance, although the value of the quantum resistance is still high. The model given by Rossi et al. [59] representing DWCNTs in parallel is more interesting and the one for MWCNTs [61] is more interesting since the resistance will be reduced according to the number of dual or multi-carbon nanotubes either in parallel or nested. In addition, it will provide a better delay performance than that of an alternative such as copper.

4.3 Graphene nanoribbon models

Even if the SLGNR can be easily controlled horizontally, the model presented in ref. [63] demonstrates that SLGNR is too resistive. This resistance effect may be reduced by introducing MLGNR, which has been presented in ref. [64]. Other studies [65] have compared MLGNR with both copper interconnects and SWCNT bundle interconnects. The result of that comparison has shown the performance superiority of multi-layer GNR interconnects over conventional copper interconnects for small widths (<15 nm).

4.4 Nanotube bundles models

In the wake of reducing the quantum resistance, the literature shows the successful fabrication of bundled SWCNTs [66]. The biggest advantages of CNT bundles are contrary to Cu wires; CNTs can be arranged in different shapes such as circular, hexagonal, and triangular. In addition, some studies like the one in ref. [67], showed that T-CNT bundles outperform the other kind of bundles.

But since the study in Ref. [68] demonstrated that the MWCNT on average necessitates 97.8% lesser area as compared with the bundled-SWCNT interconnects for the same performance in a matter of crosstalk time delay. Mixed carbon nanotube bundles (MCBs) [7] are considered to be highly potential interconnect solutions in the current nanoscale regime. Encouragingly, a significant reduction in propagation delay and crosstalk delay is observed for a spatial arrangement of an MCB wherein MWCNTs are placed peripherally to the centrally located SWCNTs. Typically, the average delay with and without crosstalk is improved by 82.8% and 80%, respectively, compared to the MCB having randomly distributed SWCNTs and MWCNTs.

The proposed configuration in a study by Amin et al. [69] has demonstrated better performance for 32 nm and 16 nm technology.

4.5 Composite graphene-based models

Even if the hilarious progress of the synthesis and the modeling of graphene or CNTs shows theoretically promising solutions to replace copper interconnects, the reality demonstrates the opposite. Indeed, the assumption of the closely packed CNTs is invalid even with state-of-the-art fabrication technology [71]. In addition, the study in ref. [72] demonstrates that the conductivity of CNTs is much lower than copper. To overcome these deficiencies, an experimental study was realized in ref. [73] over (Cu-CNT) composite and showed that the previous composite has a comparable conductivity yet 100 times higher ampacity than its Cu counterpart. The study in ref. [74] also demonstrated a high electromigration resistance of the Cu-CNT composite, which may conclude the balance between the performance and reliability of Cu-CNT composite. Thus, it makes sense of the investigation led in ref. [13], where the results from this modeling study suggest that TSV interconnects composed of a Cu/CNT matrix exhibit enhanced performance compared to pure Cu, and the study in ref. [38] shows that the resistivity of Cu-CNT composite is not far from Cu resistivity, and therefore much smaller than that of the pure CNTs.

A study by Mehta et al. [75], which is a comparison among Cu, Cu-GNR hybrid, and Cu-CNT composite interconnects, has observed that the Cu-GNR hybrid has better performance than the Cu-CNT composite interconnects in terms of signal integrity and power consumption.

Advertisement

5. Conclusion and perspective

In this chapter, we provide an overview of the general properties of graphene-based interconnects, the semiclassical and quantum theory explaining the electrical transport inside nanowire, and finally the advancements in electrical circuit modeling of graphene nanoribbon, carbon nanotube, and copper composite-based interconnects. In sum, electrical modeling of conductors CNT or GNR based has been performed for over 25 years, but their simulation shows little performance compared with the cost to invest for their production. Thus, more worthy alternatives should be studied in the following areas to pursue in the future. (1) Cu-GNR has shown interesting properties for further studies in terms of electromigration, crosstalk, and power consumption. (2) More models based on Cu-CNT should be studied, for instance, Cu-CNT bundles with mixed configuration, Cu-TCNTs, and so on. (3) Since aluminum is relatively cheaper and has a higher specific conductivity, approximately twice the one for copper, more research should be oriented toward Al-CNT or Al-GNR to have a deeper knowledge about the performance of this composite.

References

  1. 1. Moore GE. Cramming more components onto integrated circuits. Electronics. 1965;38:114-117
  2. 2. Mittal J, Lin K. Carbon nanotube-based interconnections. Journal of Materials Science. 2017;52:1-5. DOI: 10.1007/s10853-016-0416-4
  3. 3. International Technology Roadmap for Devices and Systems—Systems and Architectures. 2021 [online]. Available from: https://irds.ieee.org/editions/2021
  4. 4. Nylander A. Fundamental Characterization of Low Dimensional Carbon Nanomaterials for 3D Electronics Packaging. 2021. p. 21. DOI: 10.13140/RG.2.2.13693.49128
  5. 5. Kaushik BK. Carbon Nanotube Based VLSI Interconnects. 2015. p. 5. DOI: 10.1007/978-81-322-2047-3
  6. 6. Khursheed A, Khare K, Haque F. Designing of ultra-low-power high-speed repeaters for performance optimization of VLSI interconnects at 32 nm. International Journal of Numerical Modelling: Electronic Networks, Devices and Fields. 2019;32:1-2. DOI: 10.1002/jnm.2516
  7. 7. Sathyakam U, Mallick P. Design and Crosstalk Analysis in Carbonotube Interconnects. 2020. p. 2. DOI: 10.1007/978-981-15-8888-4
  8. 8. Steinhogl W et al. Comprehensive study of the resistivity of copper wires and lateral dimension of 100nm and smaller. Journal of Applied Physics. 2005;97:023706
  9. 9. Khursheed A, Khare K. Nano Interconnects Device Physics, Modeling and Simulation. 2021. pp. 63-66. DOI: 10.1201/9781003104193
  10. 10. Todri-Sanial A, Ramos R, Okuno H, Dijon J, Dhavamani A, Widlicenus M, et al. A survey of carbon nanotube interconnects for energy efficient integrated circuits. IEEE Circuits and Systems Magazine. 2017;17:47-62. DOI: 10.1109/MCAS.2017.2689538
  11. 11. Zhang S, Nguyen N, Leonhardt B, Jolowsky C, Hao A, Park JG, et al. Carbon-nanotube-based electrical conductors: Fabrication, optimization, and applications. Advanced Electronic Materials. 2019;5:9-10. DOI: 10.1002/aelm.201800811
  12. 12. ALVORN CNT YARN/WIRE/ROPE. Available from: https://dexmat.com/cntproducts/cnt-yarn-wire-rope/ [Accessed: November 2020]
  13. 13. Zhao WS, Yin WY. Carbon-based interconnects for RF nanoelectronics. In: Webster JG, editor. Electronic Engineering. 2012. pp. 1-20. DOI: 10.1002/047134608X.W8147
  14. 14. Behnam A, Lyons AS, Bae MH, Chow EK, Islam S, Neumann CM, et al. Transport in nanoribbon interconnects obtained from graphene grown by chemical vapor deposition. Nano Letters. 2012;12:4424-4443
  15. 15. Kosynkin DV, Higginbotham AL, Sinitskii A, Lomeda JR, Dimiev A, Price BK, et al. Longitudinal unzipping of carbon nanotubes to form graphene nanoribbon. Nature. 2009;458:872-876
  16. 16. Novoselov NS, Geim AK, Morozov SV, Jiang D, Zhang Y, Dubonos SV, et al. Electric field effect in atomically thin carbon films. Science. 2004;306:666-669
  17. 17. Zhao W-S, Fu K, Wang D, Li M, Wang G, Yin W-Y. Mini-review: Modeling and performance analysis of nanocarbon interconnects. Applied Sciences. 2019;9. DOI: 10.3390/app9112174
  18. 18. Yao Z, Kane CL, Dekker C. High-field electrical transport in single-wall carbon nanotubes. Physical Review Letters. 2000;84:2941
  19. 19. Wei BQ, Vajtai R, Ajayan PM. Reliability and current carrying capacity of carbon nanotubes. Applied Physics Letters. 2001;79:1172
  20. 20. Marconnet AM, Panzer MA, Goodson KE. Thermal conduction phenomena in carbon nanotubes and related nanostructured materials. Reviews of Modern Physics. 2013;85:1295
  21. 21. Berger C, Yi Y, Wang ZL, de Heer WA. Multiwalled carbon nanotubes are ballistic conductors at room temperature. Applied Physics A: Materials Science & Processing. 2002;74:363
  22. 22. Li S, Yu Z, Yen S-F, Tang WC, Burke PJ. Carbon nanotube transistor operation at 2.6 GHz. Nano Letters. 2004;4:753
  23. 23. Kim S, Kulkarni DD, Rykaczewski K, Henry M, Tsukruk VV, Fedorov AG. Fabrication of an ultralow-resistance ohmic contact to MWCNT–Metal interconnect using graphitic carbon by electron beaminduced deposition (EBID). IEEE Transactions on Nanotechnology. 2012;11:1223
  24. 24. Subramaniam C, Sekiguchi A, Yamada T, Futaba DN, Hata K. Nano-scale, planar and multi-tiered current pathways from a carbon nanotube–copper composite with high conductivity, ampacity and stability. Nanoscale. 2016;8:3888
  25. 25. Subramaniam C, Yamada T, Kobashi K, Sekiguchi A, Futaba DN, Yumura M, et al. One hundred fold increase in current carrying capacity in a carbon nanotube–copper composite. Nature Communications. 2013;4(1)
  26. 26. Subhajit D, Sandip B, Debaprasad D, Hafizur R. A Short Review on Graphene Nanoribbon Interconnect. IEEE; 2020. pp. 1-7. DOI: 10.1109/ISDCS49393.2020.9263018
  27. 27. Benedict LX, Crespi VH, Louie SG, Cohen ML. Static conductivity and superconductivity of carbon nanotubes—Relations between tubes and sheets. Physical Review B, Condensed Materials. 1995;52(20):14935-14940
  28. 28. Xie S, Li W, Pan Z, Chang B, Lianfeng S. Mechanical and physical properties on carbon nanotube. Journal of Physics and Chemistry of Solids. 2000;61:1153-1158. DOI: 10.1016/S0022-3697(99)00376-5
  29. 29. Kumar PSSR, Smart DSR, Alexis SJ. Corrosion behaviour of aluminium metal matrix reinforced with multi-wall carbon nanotube. Materials Today Communications. 2020;5:71-75
  30. 30. Arora N, Sharma NN. Arc discharge synthesis of carbon nanotubes: Comprehensive review. Diamond and Related Materials. 2014;50:135-150
  31. 31. Su Y, Zhang Y. Carbon nanomaterials synthesized by arc discharge hot plasma. Carbon N. Y. 2015;83:90-99. DOI: 10.1016/j.carbon.2014.11.023
  32. 32. Harris PJF. Solid state growth mechanisms for carbon nanotubes. Carbon N. Y. 2007;45:229-239. DOI: 10.1016/j.carbon.2006.09.023
  33. 33. Arepalli S. Laser ablation process for single-walled carbon nanotube production. Journal of Nanoscience and Nanotechnology. 2004;4:317-325. DOI: https://doi.org/10.1166/jnn.2004. 072
  34. 34. Khueshed BAT. Synthesis of carbon nanotubes by catalytic chemical vapour deposition: A review on carbon sources, catalysts and substrates. Material Science and Semiconductor Process. 2016;41:67-82
  35. 35. Jourdain V, Bichara C. Current understanding of the growth of carbon nanotubes in catalytic chemical vapour deposition. Carbon N. Y. 2013;58:2-39
  36. 36. Mubarak NM, Abdullah EC, Jayakumar NS, Sahu JN. An overview on methods for the production of carbon nanotubes. Journal of Industrial and Engineering Chemistry. 2014;20:1186-1197. DOI: 10.1016/j. jiec.2013.09.001
  37. 37. Koziol K, Boskovic BO, Yahya N. Synthesis of carbon nanostructures by CVD method. Carbon and Oxide Nanostructures. 2010;2010:23-49
  38. 38. Cheng Z-H, Zhao W-S, Dong L, Wang J, Zhao P, Gao H, et al. Investigation of copper-carbon nanotube composites as global VLSI interconnects. IEEE Transactions on Nanotechnology. 2017;16:891-900. DOI: 10.1109/TNANO.2017.2756928
  39. 39. Jiang J, Kang J, Cao W, Xie X, Zhang H, Chu J, et al. Intercalation doped multilayer-graphene-nanoribbons for next-generation interconnects. Nano Letters. 2017;17:2-4. DOI: 10.1021/acs.nanolett.6b04516
  40. 40. Hu Y, Shen Y, Bao H. Optimized phonon band discretization scheme for efficiently solving the nongray Boltzmann transport equation. ASME. Journal of Heat Transfer. July 2022;144(7):072501. DOI: 10.1115/1.4054300
  41. 41. Salahuddin S, Datta S. Transport effects on signal propagation in quantum wires. Electron Devices, IEEE Transactions on. 2005;52:1734-1742. DOI: 10.1109/TED.2005.852170
  42. 42. Martin PC, Schwinger J. Theory of many-particle systems. I. Physical Review. 1959;115(6):1342-1373. DOI: 10.1103/physrev.115.1342
  43. 43. Kadanoff L, Baym G. Quantum Statistical Mechanics. New York: Benjamin; 1962
  44. 44. Keldysh LV. Diagram technique for nonequilibrium processes. Soviet Physics–JETP. 1965;20(4):1018-1026
  45. 45. Danielewicz P. Quantum theory of nonequilibrium processes, I. Annals of Physics. 1984;152(2):239-304. DOI: 10.1016/0003-4916(84)90092-7
  46. 46. Mahan GD. Quantum transport equation for electric and magnetic fields. Physics Reports. 1987;145(5):251-318. DOI: 10.1016/0370-1573(87)90004-4
  47. 47. Datta S. Steady-state quantum kinetic equation. Physical Review B. American Physical Society. 1989;40(8):5830-5833. DOI: 10.1103/PhysRevB.40.5830
  48. 48. McLennan MJ, Lee Y, Datta S. Voltage drop in mesoscopic systems: A numerical study using a quantum kinetic equation. Physical Review B. American Physical Society. 1991;43(17):13846-13884. DOI: 10.1103/PhysRevB.43.13846
  49. 49. Meir Y, Wingreen NS. Landauer formula for the current through an interacting electron region. Physical Review Letters. American Physical Society. 1992;68(16):2512-2515. DOI: 10.1103/PhysRevLett.68.2512
  50. 50. Datta S. Lessons from Nanoelectronics: A new perspective on transport. Part B, Quantum Transport. Singapore, Hackensack, NJ: World Scientific Publishing Co. Pte. Ltd.; 2018. pp. 41-45. DOI: 10.1142/10440-vol2
  51. 51. Xu Y, Srivastava A. A model for carbon nanotube interconnects. International Journal of Circuit Theory and Applications. 2009;38:559-575. DOI: 10.1002/cta.587
  52. 52. Li H et al. Modelling of carbon nanotube interconnects and comparative analysis with Cu interconnects. In: Proceedings of Asia-Pacific Microwave Conference. 2006
  53. 53. Naeemi A, Meindl JD. Monolayer metallic nanotube interconnects: Promising candidates for short local interconnects. IEEE Electron Device Letters. 2005;26:544-546
  54. 54. Jamal O, Naeemi A. Ultralow-power single-wall carbon nanotube interconnects for subthreshold circuits. IEEE Transactions on Nanotechnology. 2011;10:99-101
  55. 55. Pable SD, Hasan M. Interconnect design for subthreshold circuits. IEEE Transactions on Nanotechnology. 2012;11:633-639
  56. 56. Ceyhan A, Naeemi A. Cu interconnect limitations and opportunities for SWNT interconnects at the end of the roadmap. IEEE Transactions on Electron Devices. 2013;60:374-382
  57. 57. Park J, Rosenblatt S, Yaish Y, Sazonova V, Ustunel H, Braig S, et al. Electron-phonon scattering in metallic single-walled carbon nanotubes. Nano Letters. 2004:517-520
  58. 58. Burke PJ. Corrections to “Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes”. Nanotechnology, IEEE Transactions on. 2004;3:331-331. DOI: 10.1109/TNANO.2004.828580
  59. 59. Rossi D, Cazeaux J, Metra C, Lombardi F. Modeling crosstalk effects in CNT bus architectures. Nanotechnology, IEEE Transactions on. 2007;6:133-145. DOI: 10.1109/TNANO.2007.891814
  60. 60. Raychowdhury A, Roy K. Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2006;25:58-65. DOI: 10.1109/TCAD.2005.853702
  61. 61. Li H, Yin W-Y, Banerjee K, Mao J-F. Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. Electron Devices, IEEE Transactions on. 2008;55:1328-1337. DOI: 10.1109/TED.2008.922855
  62. 62. Naeemi A, Meindl JD. Compact physical models for multiwall carbon-nanotube interconnects. IEEE Electron Device Letters. 2006;27(5):338-340
  63. 63. Xu C, Li H, Banerjee K. Modeling, analysis, and design of graphene nano-ribbon interconnects. Electron Devices, IEEE Transactions on. 2009;56:1567-1578. DOI: 10.1109/TED.2009.2024254
  64. 64. Das S, Bhattacharya S, Das D, Rahaman H. RF performance analysis of graphene nanoribbon interconnect. In: IEEE TechSym 2014 - 2014 IEEE Students’ Technology Symposium. 2014
  65. 65. Ragheb T, Massoud Y. On the modeling of resistance in Graphene Nanoribbon (GNR) for future interconnect applications. 2008:593-597
  66. 66. Harutyunyan AR, Chen G, Paronyan TM, Pigos EM, Kuznetsov OA, Hewaparakrama K, et al. Preferential growth of single-walled carbon nanotubes with metallic conductivity. Science. 2009;326:116-120
  67. 67. Sathyakam U, Mallick P. Triangular carbon nanotube bundle interconnects for subthreshold VLSI circuits. Journal of Electronic Materials. 2019;48. DOI: 10.1007/s11664-019-07431-z
  68. 68. Pandya N, Kaushik BK, Manhas S. Analysis of MWCNT and bundled SWCNT interconnects: Impact on crosstalk and area. Electron Device Letters, IEEE. 2012;33:1180-1182. DOI: 10.1109/LED.2012.2200872
  69. 69. Amin B, Ullah S, Sana M. A Theoretical Modeling Analysis of Adapted Composite CNT Bundle for High-Speed VLSI Interconnect. 2021
  70. 70. Kaushik BK, Manhas S. Analysis of delay and dynamic crosstalk in bundled carbon nanotube interconnects. IEEE Transactions on Electromagnetic Compatibility. 2014;56:1-8. DOI: 10.1109/TEMC.2014.2318017
  71. 71. Zhang G, Warner JH, Fouquet W, et al. Growth of ultrahigh density single-walled carbon nanotube forests by improved catalyst design. ACS Nano. 2012;6(4):2893-2903
  72. 72. Zhao WS, Zheng J, Hu Y, et al. High-frequency analysis of cu-carbon nanotube composite through-silicon vias. IEEE Transactions on Nanotechnology. 2016;15(3):506-511
  73. 73. Subramaniam C, Yamada T, Kobashi K, et al. One hundred fold increase in current carrying capacity in a carbon nanotube-copper composite. Nature Communication. 2013;4:2202
  74. 74. Chai Y, Chan PCH, Fu Y, et al. Electromigration studies of Cu/carbon nanotube composite interconnects using Blech structure. IEEE Electron Device Letters. 2008;29(9):1001-1003
  75. 75. Ruchit M, Sunny C, Zhihong C. Enhanced electrical and thermal conduction in graphene. Nano Letters. 2015
  76. 76. Feng Y, Burkett S. Modeling a copper/carbon nanotube composite for applications in electronic packaging. Computational Materials Science. 2015;97:1-5. DOI: 10.1016/j.commatsci.2014.10.014

Written By

Youssef Nadir, Hassan Belahrach, Abdelilah Ghammaz, Aze-eddine Naamane and Mohammed Radouani

Submitted: 10 May 2022 Reviewed: 18 May 2022 Published: 02 September 2022