Open access peer-reviewed chapter

Chemical Mechanical Planarization-Related to Contaminants: Their Sources and Characteristics

Written By

Jihoon Seo

Submitted: 15 July 2020 Reviewed: 01 October 2020 Published: 29 October 2020

DOI: 10.5772/intechopen.94292

From the Edited Volume

Emerging Contaminants

Edited by Aurel Nuro

Chapter metrics overview

1,207 Chapter Downloads

View Full Metrics

Abstract

Chemical mechanical planarization (CMP) process has been widely used to planarize a variety of materials including dielectrics, metal, and semiconductors in Si-based semiconductor devices. It is one of the most critical steps to achieve the nanolevel wafer and die scale planarity. However, various contaminants are observed on the wafer surfaces after the CMP process, and they become the most critical yield detractor over many generations of rapidly diminishing feature sizes because they have the most direct impacts on device performance and reliability. This book chapter provides (1) CMP consumables-induced contaminants such as residual particles, surface residues, organic residues, pad debris and metallic impurities, pad contamination, watermark, etc., (2) brush-induced cross-contamination during post CMP cleaning, (3) post-CMP cleaning for removing these contaminants. Fundamental understanding of the formation of various types of CMP contaminants and their characteristics will significantly benefit the development of next-generation CMP slurries and post-CMP cleaning solutions.

Keywords

  • semiconductor manufacturing process
  • chemical mechanical planarization (CMP)
  • defects
  • contaminants
  • cleaning
  • post-CMP

1. Introduction

Chemical mechanical planarization (CMP) is a critical and enabling process to achieve nanolevel local and global planarization across 300 mm wafer in integrated circuit (IC) manufacturing [1, 2, 3]. There are three main applications of the CMP process in the semiconductor device manufacturing: the formation of the transistors (front-end-of-line, FEOL), the local connections between transistors (middle-of-line, MOL), and the interconnect structures (back-end-of-line, BEOL). FEOL processes form the transistors and build the device architecture. One of the important FEOL CMP processes is the shallow trench isolation (STI) CMP. STI CMP uniformly polishes the step height of SiO2, formed by the gap-filling process, and stops on an underlying Si3N4 film [4, 5, 6]. MOL CMP processes include the necessary steps to connect the individual transistors by mainly polishing W contact metal/liner and interlayer dielectric (ILD) layer [7, 8]. BEOL processes enable the multilevel interconnect network where Cu lines are isolated by the dielectric materials [9]. CMP process is mainly utilized to achieve the desired removal rates, rate selectivity between exposed materials, uniformity, etc. by the synergistic interplay of chemical and mechanical interactions. During this process, the wafer is pressed against a polishing pad under the applied down pressure. The slurry is applied onto the center of the pad and is transported into the pad/wafer gap through the pores and grooves of the polishing pad while rotating the pad at high speed, which generates various chemical and mechanical actions at the slurry/pad-wafer interface (Figure 1) [1]. Many factors including CMP consumables (slurry, pad, wafer, conditioner, retainer ring, etc.) and their process/tool conditions can have an influence on the polishing performances (Figure 1) [1, 10, 11].

Figure 1.

Schematic of a typical CMP process and CMP consumables and conditions associated with CMP performances. Reprinted from Ref. [1] with permission from the Journal of Materials Research.

As CMP technology has grown by leaps and bounds over the past several decades, considerable progress has been made. However, the presence of CMP-induced defects that can cause device failure and the severe yield loss has become a major concern in the modern semiconductor manufacturing process [3, 12, 13]. Removable defects (residual particles, organic residues, foreign materials, metallic impurities, etc.) and non-removable defects (scratches, corrosion, dishing, erosion, delamination, etc.) are presented on the polished wafer surfaces. The removable defects, also known as CMP-related to contaminants, should be completely removed in the subsequent cleaning process while minimizing the further formation of non-removable defects [14, 15]. CMP consumables themselves can be the source of the contaminants during polishing and cleaning [13]. CMP slurries for the dielectric process are mainly composed of abrasive particles, pH adjuster, dispersant, passivation agent for high selectivity, and deionized water (DIW) [16] Metal CMP slurries contain the additional chemical reagents like oxidizer, chelating agent, corrosion inhibitor, etc. to control the electrochemical behaviors of metal films during polishing [16, 17]. Typical CMP slurry components are listed in Table 1 [1]. Considerable effort has been devoted to minimizing the formation of contaminants during polishing by optimizing CMP consumables and their process/tool conditions. As the minimum feature size has shrunk below 7 nm and beyond, the devices require more stringent conditions to achieve a smooth defect-free wafer surface. Thus, the demand for understanding of the origin of CMP-related to contaminants and their characteristics is increasing in both industrial and academic research. This chapter provides an overview of the origin and characteristics of various CMP-related to contaminants that can be generated or presented on the wafer surfaces after CMP and post-CMP cleaning process. It also provides important insights into the cleaning chemistry to remove these contaminants during post-CMP cleaning. The challenges related to post-CMP cleaning solutions are highlighted.

ApplicationsSlurry components
Dielectric CMP: SiO2, Si3N4, Poly-Si, SiC, SiCN, etc.Abrasive: Ceria/Silica
pH adjusting agents such as HNO3, KOH, NH4OH, etc. and buffers
Dispersant & Surfactants.
Chemical agents for high selectivity (PAA, amino acids, etc.)
Other chemical agents for high polish rates and better performance.
Metal CMP: Cu, W, Co, Ta, TaN, Ti, Ru, etc.Abrasive: Silica/Alumina.
pH adjusting agent such as HNO3, KOH, NH4OH, etc. and buffers.
Oxidizer: H2O2, KIO3, Fe(NO3)3, K2S2O8, etc.
Complexing agents: glycine, citric acid, etc.
Corrosion inhibitors: Azole and its derivatives.

Table 1.

Typical CMP slurry components. Reprinted from Ref [1] with permission from the Journal of Materials Research.

Advertisement

2. CMP consumables-induced contaminants

Some of the CMP related to contaminants, such as residual particles, surface residues, organic residues, and metallic impurities, are common to most CMP processes, which are directly associated with CMP consumables [3, 12, 13]. Various types of CMP-related to contaminants and their impacts in the semiconductor manufacturing process were summarized in Table 2 [18]. These contaminants are presumably attributed to the chemical reactions of slurry components at the slurry/pad-wafer interface. The sources and characteristics of the contaminants listed in Table 2 will be discussed in this section.

Contaminants Dielectric CMPMetal CMPEffects
ParticulateSilica or ceria, fine fragments of film or pad, etc.Silica or alumina, metal hydroxide precipitates, fine fragments of film or pad, etc.1. Cause local roughness an block photolithography
2. Pinholes in new grown films: metal precipitates leads to metallic contamination
3. Shorts by conductive particles
OrganicBuffers, surfactants, etc.Buffers, surfactants, inhibitors, etc.1. Affects wettability and cleanability
2. Outgassing
3. Poor adhesion of deposited layers
MetallicNa+, K+,Ca2+, etc.WxOy, Cu2+, Al3+, Fe3+, IO4−, I/I2, Fe(CN)63−, Fe(CN)64−, etc.1. Alkali metal ions: high mobility influences electrical characteristics
2. Copper: fast diffuser in Si
3. Many metals can form silicide, and/or affect the oxidation
4. Noble metal ions cause etching of Si

Table 2.

Some CMP-related to contaminants and their effects in the semiconductor manufacturing process. Modified and reprinted with permission from Ref. [18], American Vacuum Society.

2.1 Residual abrasive particles

Abrasive particle is not only one of the main components in CMP slurries (Table 1) [16, 17], but also a common contaminant observed after all CMP processes (Figure 2a) [13]. Silica and ceria have been widely employed as abrasive particles for CMP processes [16]. The adsorption of silica abrasives on the films is driven by the electrostatic attractive forces between abrasives and films in a certain pH range. The pHIEP of silica abrasive is about pH 2.5 [22], so the silica particles show a negative surface charge at above pH 2.5 and lead to the contamination of positively charged films that have higher pHIEP values. The preferential adsorption of silica abrasives on Cu and Co films was observed after the Cu CMP process when Co is used as the liner (Figure 2b) [20]. As expected, the IEPs of Cu and Co species are much higher than those of TaN and SiO2 films (Table 3). W films are covered with a passivation layer in acidic pH range according to the Pourbaix diagram [32]. So, the silica abrasives can remain on the polished W films due to their electrostatic attraction (The pHIEP of WOx is 0.5 as listed in Table 3) [24]. In some cases, the alumina particles (pHIEP ~ 7) are used as the abrasive for W CMP, and they are observed on the W films after polishing due to its positive charge in the acidic medium [13, 24]. Co films and other metal films can also be contaminated with the silica abrasive during polishing [14, 15]. These particle contaminants can be controlled by the chemical reactions between slurry components and films being polished. Moreover, silica abrasives are weakly bound to the films and can be easy to be removed by under-cut and particle lift-off or their combination during cleaning [15].

Figure 2.

(a) Residual abrasive particles on the wafer surfaces after the CMP process. (b) Atomic force microscopy (AFM) images of adsorbed three different sized ceria particles on the SiO2 films and the corresponding number of particles before and after SC1 cleaning. (c) Topographic AFM images of Cu, Co, TaN, and SiO2 films contaminated with silica slurry at pH 10. Reprinted with permission from Ref. [3]. Copyright 2010 American Chemical Society. Used with the permission of HongJin Kim [19]. Reproduced with permission from Refs. [20, 21]. Copyright 2019 IOP Publishing.

MaterialspHIEP
Abrasive particlesSilica2.5 [22]
Ceria7.3 [23]
Alumina~7.0 [24]
Dielectric CMPSiO22.5 [25]
Si3N4~5.0 [26]
Poly-Si~3.3 [27]
Metal CMPCuThe IEPs of CuO and Cu(OH)2are 8.5 and 9.5, respectively [24].
CoThe IEPs of CoO, Co3O4, and Co(OH)2particles are 9.2, 9.5, and 11.4, respectively [24].
W~0.5 [24] (WOx)
TaN/TiN~4.0 [27]/~3.6 [28]
Ru4.2-5.2 [29] (RuOX)
ConsumablesPolishing padThe IEPs of IC1000 and Politex are ~ 3.2 and 4, respectively [30].
PVA brush~2.5 [15]
Organic residuesCu-BTA~10 [20]
Co-BTA~10 [31]

Table 3.

The pHIEP of abrasive particles, films to be polished, CMP consumables, and organic residues.

Ceria-based slurry has been widely used for STI CMP to uniformly polish the step height of SiO2, formed by the gap-filling process, and stop on an underlying Si3N4 film [4, 5, 6]. Residual ceria abrasives are discovered after STI CMP process (Figure 2c) [21, 33]. In contrast with a silica abrasive, ceria abrasive is more strongly coupled with the dielectric materials (in particular, SiO2 film) via the formation of strong Ce-O-Si bonding [4, 34]. It is well known that the surface Ce3+ species are the active sites for the formation of strong Ce-O-Si bonds with SiO2 films during polishing [4, 21]. Various ceria abrasives such as smaller particles with higher surface Ce3+ concentrations [35], the core/shell type Ce3+ rich ceria [36], and metal-doping or coated ceria abrasive [37] have been investigated to improved SiO2 removal rates, but making their removal during cleaning more difficult. Since the pHIEP of the ceria abrasive, SiO2, and Si3N4 films are 7.3, 2.5, and ~ 5.0 (Table 3) [38], respectively, the particles can effectively interact with the SiO2 films due to the electrostatic attractions between them. The surface charges of ceria abrasive are different depending on the nature of additives (e.g., dispersant, passivation agent for high selectivity, etc.) and the slurry pH [33]. Positively charged ceria particles, dispersed with amino acid, led significant contamination of negatively charged SiO2 films while negatively charged ceria particles, dispersed with a weak organic acid or poly(acrylic acid), showed a higher level of contamination of Si3N4 films [33]. Thus, cleaning of ceria particles from the wafer surfaces has become more challenging. The pHIEP of abrasive particles, films to be polished, CMP consumables, and organic residues are listed in Table 3.

These residual particles cause not only an increase in local roughness but also poor photolithography results by blocking the UV light (Table 2) [18]. Residual particles on the wafer surfaces can also lead to pinholes in the subsequently deposited film [18]. In some cases, just two residual abrasives on the surfaces can make the device bad [9]. The particle larger than ½ the minimum feature size becomes a “killer defect” [39].

2.2 Organic residues and pad debris

The polished wafers are also contaminated with organic residues (Figure 3a), which are originated from the slurry components such as dispersants, additives for the selectivity, complexing agents, corrosion inhibitors, etc. (Table 1). One of the main sources of organic residues is insoluble metal complexes. Azole derivatives (more specifically, benzotriazole (BTA)) have been widely used as corrosion inhibitors for metal films during polishing. BTA can strongly chemisorb onto the metal film by forming a chemical bond with a surface metal ion through the nitrogen lone pair electrons [41]. For example, each Cu+ ion can coordinate with two nitrogen ligands of BTA during the Cu CMP process, which forms a polymeric product with the BTA acting as bridging ligands (Figure 3b) [40, 41]. The third nitrogen atom in BTA of Cu-BTA complexes can bind to Cu surfaces, resulting in the polymeric protecting layer on the Cu films [41]. Recently, Seo et al. [20] reported that Cu and Co ions dissolved from Cu and Co films, respectively, can react with BTA and form 4-15 nm Cu-BTA/Co-BTA particles when Co is used as the liner in Cu interconnect structures (Figure 3c). These particles adsorb on only Cu surface, not Co film at pH 10 [20]. Since the zeta-potentials of both particles at pH 10 are close to ~0 mV (Table 3), there is a negligible electrostatic interaction of Cu-BTA/Co-BTA particles with Cu and Co films. They suggested that the adsorption of these particles on Cu film is not only attributed to the hydrophobic interaction between particles and Cu film but also the chemisorption via the lone pair electrons on the nitrogen atoms in the Cu-BTA/Co-BTA particles [20, 40]. Other organic additives can also be adsorbed on the films via van der Waals and hydrophobic interactions. In some cases, these may convert hydrophilic to hydrophobic of the film surfaces. The hydrophobic nature of the film surfaces can attract water droplets containing organic contaminants, leading to the watermarks and more organic residues [42]. These adsorbed organic contaminants affect the wettability and cleanability of the wafer surface, resulting in the poor adhesion of subsequently deposited layers (Table 2).

Figure 3.

(a) Organic residues generated from pad materials and others during polishing. (b) The formation of Cu-BTA complexes during polishing; TEM images of samples collected from the wafer surfaces after the exposure to the slurry components containing hydrogen peroxide, glycine, and BTA. (c) Topographic AFM images of Cu films contaminated with Cu-BTA (upper figure) and Co-BTA complexes (lower figure) at pH 10 in a scan area of 5 × 5 μm2. AFM profiles show the height of Cu-BTA/Co-BTA particles adsorbed on the Cu films. Reprinted with permission from Refs. [3, 40]. Copyright 2010 and 2009 American Chemical Society. Reproduced with permission from Ref. [20]. Copyright 2019 IOP Publishing.

Most polishing pads are made of polymeric materials such as polyurethane. During polishing, the pad is conditioned with a diamond conditioner to regenerate the pad asperities and remove the accumulated particles on the pad, but generating 0.2 to 300 μm pad debris [43]. Although in-situ conditioning enables a higher removal rate and better planarity by maintaining stable pad surface properties, it can cause more pad debris compared to ex-situ conditioning [43]. Most of the pad debris is in the range of 0.2 to 0.3 μm. Some of the large pad debris (20-300 μm) are not only very irregular shapes, but also covered by abrasive particles [44]. This pad debris is known as a source of micro-scratches, and it should be completely removed during cleaning. Both hybrid clean (i.e., acidic plus alkaline cleans) and alkaline-clean processes are effective in removing pad debris from the wafer surfaces by the electrostatic repulsion between them in the alkaline medium [45].

2.3 Metallic impurities

The CMP process leaves metallic impurities in the concentrations of 1011-1012 atoms/cm2. These contaminants may originate from the abraded metal lines, metal ions in the slurries, the environment of the CMP tool [15]. During the metal CMP process, chelating agents are able to form a metal complex with metal ions on metal surfaces (Cu, W, Co, Ta, TaN, Ti, Ru, etc.). Metal ions dissolved from metal surfaces or metal residues may be the main source for metallic contaminants (Table 2). These metallic cations not only are affected by the surface charge, but also can be precipitated on the surface of Si devices, which is expressed by ≡Si-OH(s) + Men+(aq) ↔ ≡ SiOMe(n−1)+ (s) + H+(aq). Heavy metals (Cu, Fe, Ni, Cr, Co, and Mo) that deposited on the wafer surface by the galvanic reaction can diffuse into the Si devices during heat treatments and cause excessive leakage currents, resulting in the device degradation and reliability problems [46]. Other metals (Al, group II metals, and Ti) may have much lower diffusivities and may not diffuse significantly into the Si devices [39]. Metal ions such as Cu, Co, Fe, Al, Zn, and Mg can hydrolyze in the alkaline based cleaning solution and form insoluble metal hydroxides that are remained on the wafer surfaces [15]. Cu electromigration occurs through the movement of Cu atoms or Cu ions when there is a strong electrical current [47]. The undesirable metallic particles can cause short circuits between metal lines, whereas the metal hydroxides may cause open circuits [39].

Mobile ions such as alkaline metals (Na+ and K+) originated from the slurry components such as salts and NaOH/KOH (pH adjuster) [18] (Table 2) can cause flatband shifts and surface-related leakage currents due to their electrical characteristics of high mobility [39]. Fe ions have been used as a catalyst for W CMP slurry [8]. Fe ions (Fe3+, Fe(CN)63−, Fe(CN)64−, etc.) and FeOx caused from W CMP slurries are observed on the polished wafers (Table 2) [18, 48]. Acidic cleaning solutions are useful for removing metallic impurities and suppressing the adsorption of metallic species. Critical metallic impurities on the Si device continue to decrease as the device feature shrinks down. For the current technology nodes, the acceptable metallic contaminants are less than 108 atoms/cm2 and approach the limit of detection [46].

Some metallic contaminants directly come from the metal interconnect lines. After the Cu CMP process, pyramid-shaped Cu particles (Cu, CuO, and CuOH) detached from the Cu films are discovered on the surface [49] Metal flakes such as Ti or W-Ti on the top of the replace metal gate (RMG) after W RMG CMP process are observed [50, 51]. Metals at partially filled can be broken during the RMG CMP process, and they are a source of metal flake. These metal flakes are trapped inside the brush and re-deposit to the wafer surface by the cross-contamination process. In some cases, the delamination of metal films is occurred at the wafer edge due to the edge over erosion or a poor adhesion between metal and barrier film, which is another source of metal flakes [50, 51]. These metal flakes are known as a potential killer defect in the current RMG technologies.

2.4 Pad contamination

In some cases, the by-products are generated during the metal CMP process, and they are discovered on the pad surface [52, 53]. Han et al. observed the large stain on the pad after the polishing of Cu films [52]. The brown-colored by-products are formed and accumulated on the pores and grooves of the polishing pad, which is able to disturb the slurry transportation during polishing. These contaminants are caused by the chemical reactions between the slurry components and Cu films. They suggested that an additional pad cleaning step will be required to remove these by-products from the polishing pad and improve the pad lifetime [52]. Later, Lu et al. reported the pink by-products remained on the polishing pad after Co CMP process [53]. They compared Raman spectroscopy of by-products with that of the precipitates (Co-BTA particles) made from a mixture of Co(NO3)2 and BTA. Both samples showed the same Raman peaks, indicating that by-products observed on the polishing pad are Co-BTA particles.

2.5 Watermarks

When water evaporates from the hydrophobic surfaces, it leaves the residues containing organic residues, particles, and metallic impurities that were present in the evaporation water layer, which is known as “watermark”. Watermarks are observed in hydrophobic regions or Mixed hydrophobic and hydrophilic areas [32, 54]. During the Si CMP and cleaning process, the oxidation of Si occurs in the presence of O2 in the water (Si + O2 → SiO2), and it is dissolved into water (SiO2 + H2O → H2SiO3 → H+ HSiO3). The dissolved species may precipitate to form the residues containing Si and O. Watermarks that may contain organic residues and Cu oxide particles have also been one of the challenges for Cu CMP and cleaning process. Such watermarks tend to cause significant degradation in device performance [15]. IPA-based Marangoni drying process was proposed and used to eliminate watermarks [55]. The addition of surfactants that can convert hydrophobic to hydrophilic of the films will prevent the formation of watermarks after drying [42].

Advertisement

3. Brush-induced cross-contamination during post CMP cleaning

The abrasive particles are removed from the wafer surfaces by the direct contact between wafers and PVA brushes during post CMP cleaning. The brush is compressed to the wafer surfaces, and then the particle contaminants are removed by the physical force of the compressed brush. However, the surface and inside the pore structure of PVA brushes are contaminated with the particles, organic residues, and pad debris (Figure 4a), which can be transported to the next wafers and cause cross-contamination of the wafers during the brush scrubbing [56, 58]. More cross-contamination is observed on the wafer surfaces when the contact pressure and contact area between the brush and the wafer increase [59]. Also, the longer brush contact time (lower brush rotation speed) results in more cross-contaminated particles on the wafers. Before brush scrubbing, brush soaking treatment and break-in and their optimized process may be useful to reduce the cross-contamination and improve the cleaning efficiency [58]. Also, the ultrasonication method with DIW was very effective in removing the contaminants from the PVA brushes without damage [56].

Figure 4.

(a) Scanning electron microscopy (SEM) images of initial PVA brush and contaminated PVA brush. (b) Wafer backside signature after CMP and cleaning process. Reproduced with permission from Ref. [56]. Copyright 2019 IOP Publishing. Used with the permission of HongJin Kim [57].

The ring-shaped CuO residue is rarely observed at the wafer center region after the Cu barrier CMP process with acid-based slurries [60]. Chelating agents in the acidic medium are able to effectively form water-soluble complexes with Cu ions and pull them into the slurries. More polymers or corrosion inhibitors are added at lower pH slurry, which may lead to conductive organic residues during polishing. These organic residues can be dissolved in the cleaning solutions and move between the brush and wafer surfaces during cleaning when there is a direct solid–solid contact between them, making an electrical circuit [60]. Cu2+ ions released from the Cu films during cleaning can transfer to the brush, and they react with oxygen in the ambient environment to convert to CuO residue where the electro circuit is provided by the organic residues [60].

Particle contaminants at the backside surface of wafers are also reported (Figure 4b) [57]. The wafer backside surface contacts with the slurries during polishing and cleaned with brush scrubbing and nozzle. Cleaning solutions are dispensed from an overhead nozzle onto the wafer backside. The locations of the wafer backside ring signature are well-matched with the inner ring, outer ring, and clean nozzle, which means that the polishing and the downstream surface cleaning process make the wafer backside ring signature [57].

Advertisement

4. Post-CMP cleaning for removing CMP-related to contaminants

Table 4 shows traditional post-CMP cleaning solutions that have been widely used to remove CMP-related to contaminants over the past several decades [46]. SC-1 solution is a mixture of NH4OH (29 wt%)/H2O2 (30 wt%)/DIW, which is very useful for removing particles, organic residues, and some metallic contaminants from the water surface through under-cut and particle lift-off or their combination [46]. SC-2 solution consisting of HCl (37%)/H2O2 (30 wt%)/DIW is very effective in removing metallic contaminants via the formation of soluble metal complexes with Cl ions [46]. Sulfuric acid-peroxide mixture (SPM) of H2SO4 (96 wt%)/H2O2 (30 wt%) is able to remove photoresist and other organic residues by forming a very strong oxidizing agent, which can be expressed as H2SO4 + H2O2 → H2SO5 (Caro’s acid) + H2O [61]. Caro’s acid can easily dissolve the organic residues during cleaning. Hydrofluoric acid (HF) diluted with H2O (DHF) is useful to remove the oxide layer from the wafers. These traditional cleaning solutions have been modified to meet the post-CMP cleaning process requirements for advanced technology nodes.

Cleaning solutionCompositions and conditionsContaminant removal
SC-1NH4OH (29 wt%)/H2O2 (30 wt%)/H2O, 1:1:5-1:1:100 at 40-75°CParticles, organics, and some metallic contaminants
SC-2HCl (37%)/H2O2 (30 wt%)/H2O, 1:1:6-1:1:50 at 40-75°CMetallic contaminants
SPMH2SO4 (96 wt%)/H2O2 (30 wt%), 2:1-4:1 at 90-40°COrganic residues
DHFHF, 1:10-1:200 at 25°CSacrificial oxide removal and native oxide removal

Table 4.

Traditional cleaning solutions and their conditions.

Some equimolar solutions containing NH4OH and H2O2 (4.20 and 4.13 mol/L, respectively) to remove even 10 nm ceria particles (~99% cleaning efficiencies) from SiO2 films were proposed by Seo et al. [21]. Non-traditional cleaning solutions composed of a bond-breaking reagent, complexing reagent, cleaning additive and pH adjuster were proposed for post STI CMP cleaning process [62, 63]. For post-metal CMP cleaning, the contaminants need to be completely removed while minimizing the individual corrosion of metals, localized pitting, and bimetallic/galvanic corrosion. Many cleaning compositions consisting of oxidizers, complexing agents, cleaning agents, and pH adjuster have been developed so far [20, 31]. However, there are still several cleaning challenges for the future technology nodes, while considerable progress has been made [57]; (1) improvement of cleaning efficiency, (2) the removal of smaller particles from the films, (3) the prevention of cross-contamination by brush scrubbing, (4) the removal of new-types contaminants-very thin metal flake, (5) wafer backside cleaning, (6) universal cleaning solution, (7) environmentally friendly post-CMP cleaning, (8) TMAH-free cleaning solution.

Advertisement

5. Toxicity issues and safety considerations

Toxic gases (e.g., PH3, AsH3) and the III–V containing liquid (in particular, As) can be generated during the polishing of III–V materials such as GaAs, InGaAs, InAs, and InP, which poses an environment, health, and safety (EHS) risk. Exposure to inorganic As can cause irritation of the stomach and intestines, decrease in the production of red and white blood cells, skin changes and lung irritation [64, 65]. Hence, one of the goals of the CMP processes of III–V materials is to achieve high planarity without generating toxic by-products. Also, Ru film can be converted to highly volatile RuO4 (a toxic gas) in the acidic pH during polishing. Compounds of Ru stain the skin very strongly, and the ingested Ru is retained strongly in bones. The addition of chelating agents may help to reduce the formation of highly volatile RuO4 during polishing. The formation of toxic by-products during polishing must be avoided by controlling slurry chemistry such as oxidizer, complexing agent, corrosion inhibitor, and the slurry pH.

Advertisement

6. Conclusions

As the performances of devices at 7 nm node and beyond have become very sensitive to contaminants and defects, there has been a growing interest in understanding the sources and characteristics of CMP-related contaminants. An overview of various contaminants generated during the CMP process and their characteristics were discussed in this book chapter. There has been significant progress in understanding the fundamental science and technology of the sources of CMP-induced contaminants and their characteristics for the past several decades. Nevertheless, a more fundamental understanding of various chemical and mechanical reactions that occur between slurry components, polishing pad, and wafer surfaces will help us to propose new strategies and novel concepts of CMP slurries to minimize the formation of the contaminants during polishing. As expected, Post-CMP cleaning has become more important than ever to eliminate many of these CMP-induced contaminants. A close collaboration between the consumables manufacturers and the fab end-users is required to overcome many challenges and some issues related to the contaminants in the CMP and post-CMP cleaning process.

Advertisement

Acknowledgments

The author gratefully acknowledges Prof. S.V. Babu for many useful discussions, valuable comments, and suggestions.

References

  1. 1. Seo, J., A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization. Journal of Materials Research2020, 1-23
  2. 2. Suryadevara, B., Advances in chemical mechanical planarization (CMP). Woodhead Publishing: 2016
  3. 3. Krishnan, M.; Nalaskowski, J. W.; Cook, L. M., Chemical mechanical planarization: slurry chemistry, materials, and mechanisms. Chemical reviews2010,110 (1), 178-204
  4. 4. Srinivasan, R.; Dandu, P. V.; Babu, S., Shallow trench isolation chemical mechanical planarization: A review. ECS Journal of Solid State Science and Technology2015,4 (11), P5029-P5039
  5. 5. Seo, J.; Moon, J.; Moon, S.; Paik, U., Interpolymer complexes of poly (acrylic acid) and poly (ethylene glycol) for low dishing in STI CMP. Applied Surface Science2015,353, 499-503
  6. 6. Seo, J.; Moon, J.; Bae, J.-Y.; Yoon, K. S.; Sigmund, W.; Paik, U., Control of adhesion force between ceria particles and polishing pad in shallow trench isolation chemical mechanical planarization. Journal of nanoscience and nanotechnology2014,14 (6), 4351-4356
  7. 7. You, K.; Seo, J.; Kim, P. J. H.; Song, T., Control of Tungsten Protrusion with Surface Active Agent during Tungsten Chemical Mechanical Polishing. ECS Journal of Solid State Science and Technology2017,6 (12), P822-P827
  8. 8. Seo, J.; Kim, J. H.; Lee, M.; You, K.; Moon, J.; Lee, D.-H.; Paik, U., Multi-objective optimization of tungsten CMP slurry for advanced semiconductor manufacturing using a response surface methodology. Materials & Design2017,117, 131-138
  9. 9. Krishnan, M.; Lofaro, M., Copper chemical mechanical planarization (Cu CMP) challenges in 22 nm back-end-of-line (BEOL) and beyond. In Advances in Chemical Mechanical Planarization (CMP), Elsevier: 2016; pp 27-46
  10. 10. Khanna, A. J.; Kakireddy, V. R.; Fung, J.; Jawali, P.; Yamamura, M.; Kenchappa, N. B.; Hariharan, V.; Redfield, D.; Bajaj, R., High-Performance Pad Conditioning (HPPC) Arm for Augmenting CMP Performance. ECS Journal of Solid State Science and Technology2020,9 (6), 064012
  11. 11. Khanna, A. J.; Yamamura, M.; Kakireddy, V. R.; Chockalingam, A.; Jawali, P.; Kenchappa, N. B.; Hariharan, V.; Redfield, D.; Bajaj, R., Investigation of the Impact of Pad Surface Texture from Different Pad Conditioners on the CMP Performance. ECS Journal of Solid State Science and Technology2020,9 (6), 064011
  12. 12. Lefevre, P., Defects Observed on the Wafer after the CMP Process. Microelectronic Applications of Chemical Mechanical Planarization2007, 511-561
  13. 13. Tseng, W.-T., Approaches to defect characterization, mitigation, and reduction. In Advances in Chemical Mechanical Planarization (CMP), Elsevier: 2016; pp 433-462
  14. 14. Alety, S. R.; Lagudu, U. R.; Popuri, R.; Patlolla, R.; Surisetty, C. V.; Babu, S., Cleaning Solutions for Ultrathin Co Barriers for Advanced Technology Nodes. ECS Journal of Solid State Science and Technology2017,6 (9), P671
  15. 15. Chen, Y.; Mikhaylichenko, K.; Brown, B.; Redeker, F., Post-CMP Cleaning. In Handbook of Silicon Wafer Cleaning Technology, Elsevier: 2018; pp 253-301
  16. 16. Seo, J.; Paik, U., Preparation and characterization of slurry for chemical mechanical planarization (CMP). In Advances in Chemical Mechanical Planarization (CMP), Elsevier: 2016; pp 273-298
  17. 17. Lee, D.; Lee, H.; Jeong, H., Slurry components in metal chemical mechanical planarization (CMP) process: A review. International Journal of Precision Engineering and Manufacturing2016,17 (12), 1751-1762
  18. 18. Zhang, L.; Raghavan, S.; Weling, M., Minimization of chemical-mechanical planarization (CMP) defects and post-CMP cleaning. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena1999,17 (5), 2248-2255
  19. 19. Kim, H. J. In Process Optimization in Post W CMP In-situ Cleaning, NCCAVS 2015 CMP User Group Spring Meeting, Albany, NY, Albany, NY, 2015
  20. 20. Seo, J.; Vegi, S. H.; Babu, S., Post-CMP Cleaning Solutions for the Removal of Organic Contaminants with Reduced Galvanic Corrosion at Copper/Cobalt Interface for Advanced Cu Interconnect Applications. ECS Journal of Solid State Science and Technology2019,8 (8), P379
  21. 21. Seo, J.; Gowda, A.; Babu, S., Almost Complete Removal of Ceria Particles Down to 10 nm Size from Silicon Dioxide Surfaces. ECS Journal of Solid State Science and Technology2018,7 (5), P243-P252
  22. 22. Seo, J.; Kim, J. H.; Lee, M.; Moon, J.; Yi, D. K.; Paik, U., Size-dependent interactions of silica nanoparticles with a flat silica surface. Journal of colloid and interface science2016,483, 177-184
  23. 23. Seo, J.; Gowda, A.; Khajornrungruang, P.; Hamada, S.; Song, T.; Babu, S., Trajectories, diffusion, and interactions of single ceria particles on a glass surface observed by evanescent wave microscopy. Journal of Materials Research2020, P1-11
  24. 24. Kosmulski, M., Compilation of PZC and IEP of sparingly soluble metal oxides and hydroxides from literature. Advances in colloid and interface science2009,152 (1-2), 14-25
  25. 25. Penta, N. K.; Veera, P. D.; Babu, S., Charge density and pH effects on polycation adsorption on Poly-Si, SiO2, and Si3N4 films and impact on removal during chemical mechanical polishing. ACS applied materials & interfaces2011,3 (10), 4126-4132
  26. 26. Alety, S. R.; Sagi, K. V.; Babu, S., Role of Ce3+ Ions in Achieving High Silicon Nitride Polish Rates. ECS Journal of Solid State Science and Technology2017,6 (12), P898-P903
  27. 27. Shih, C.-J.; Lung, B.-H.; Hon, M.-H., Colloidal processing of titanium nitride with poly-(methacrylic acid) polyelectrolyte. Materials chemistry and physics1999,60 (2), 150-157
  28. 28. Guo, Z.; Xiong, J.; Yang, M.; Xiong, S.; Chen, J.; Wu, Y.; Fan, H.; Sun, L.; Wang, J.; Wang, H., Dispersion of nano-TiN powder in aqueous media. Journal of Alloys and Compounds2010,493 (1-2), 362-367
  29. 29. Luxton, T. P.; Eick, M. J.; Scheckel, K. G., Characterization and dissolution properties of ruthenium oxides. Journal of colloid and interface science2011,359 (1), 30-39
  30. 30. Penta, N. K.; Matovu, J. B.; Veera, P. D.; Krishnan, S.; Babu, S., Role of polycation adsorption in poly-Si, SiO2 and Si3N4 removal during chemical mechanical polishing: Effect of polishing pad surface chemistry. Colloids and Surfaces A: Physicochemical and Engineering Aspects2011,388 (1-3), 21-28
  31. 31. Seo, J.; Vegi, S. H.; Ranaweera, C.; Baradanahalli, N.; Han, J.-H.; Koli, D.; Babu, S., Formation of Cobalt-BTA Complexes and Their Removal from Various Surfaces Relevant to Cobalt Interconnect Applications. ECS Journal of Solid State Science and Technology2019,8 (5), P3009-P3017
  32. 32. Li, Y., Microelectronic applications of chemical mechanical planarization. John Wiley & Sons: 2007
  33. 33. Gowda, A.; Seo, J.; Ranaweera, C. K.; Babu, S., Cleaning Solutions for Removal of ∼ 30 nm Ceria Particles from Proline and Citric Acid Containing Slurries Deposited on Silicon Dioxide and Silicon Nitride Surfaces. ECS Journal of Solid State Science and Technology2020,9 (4), 044013
  34. 34. Cook, L. M., Chemical processes in glass polishing. Journal of non-crystalline solids1990,120 (1-3), 152-171
  35. 35. Seo, J.; Moon, J.; Kim, J. H.; Lee, K.; Hwang, J.; Yoon, H.; Yi, D. K.; Paik, U., Role of the oxidation state of cerium on the ceria surfaces for silicate adsorption. Applied Surface Science2016,389, 311-315
  36. 36. Kim, K.; Seo, J.; Lee, M.; Moon, J.; Lee, K.; Yi, D. K.; Paik, U., Ce 3+−enriched core–shell ceria nanoparticles for silicate adsorption. Journal of Materials Research2017,32 (14), 2829-2836
  37. 37. Cheng, J.; Huang, S.; Li, Y.; Wang, T.; Xie, L.; Lu, X., RE (La, Nd and Yb) doped CeO2 abrasive particles for chemical mechanical polishing of dielectric materials: Experimental and computational analysis. Applied Surface Science2020,506, 144668
  38. 38. Kosmulski, M., pH-dependent surface charging and points of zero charge. IV. Update and new approach. Journal of colloid and interface science2009,337 (2), 439-448
  39. 39. Bearda, T.; Mertens, P. W.; Beaudoin, S. P., Overview of Wafer Contamination and Defectivity. In Handbook of silicon wafer cleaning technology, Elsevier: 2018; pp 87-149
  40. 40. Li, Y.; Gong, M.; Ramji, K.; Li, Y., Role of Cu− Benzotriazole Nanoparticles in Passivation Film Formation. The Journal of Physical Chemistry C2009,113 (42), 18003-18013
  41. 41. Xue, G.; Ding, J.; Lu, P.; Dong, J., SERS, XPS, and electroanalytical studies of the chemisorption of benzotriazole on a freshly etched surface and an oxidized surface of copper. The Journal of Physical Chemistry1991,95 (19), 7380-7384
  42. 42. Mikhaylichenko, K. In Cleaning Efficiency Improvement Solutions for FEOL CMP, Surface Preparation and Cleaning Conference (SPCC 2019), Portland, Oregon, USA, Portland, Oregon, USA, 2019
  43. 43. Prasad, Y. N.; Kwon, T.-Y.; Kim, I.-K.; Kim, I.-G.; Park, J.-G., Generation of pad debris during oxide CMP process and its role in scratch formation. Journal of the Electrochemical Society2011,158 (4), H394
  44. 44. Yang, J. C.; Kim, H.; Oh, D. W.; Won, J.-H.; Lee, C.-G.; Kim, T., Experimental evaluation of the effect of pad debris size on microscratches during CMP process. Journal of electronic materials2013,42 (1), 97-102
  45. 45. Tseng, W.-T.; Rill, E.; Backes, B.; Chace, M.; Yao, Y.; DeHaven, P.; Ticknor, A.; Devarapalli, V.; Khojasteh, M.; Steber, D., Post Cu CMP cleaning of polyurethane pad debris. ECS Journal of Solid State Science and Technology2013,3 (1), N3023
  46. 46. Kern, W., Overview and evolution of silicon wafer cleaning technology. In Handbook of silicon wafer cleaning technology, Elsevier: 2018; pp 3-85
  47. 47. Lloyd, J.; Clement, J., Electromigration in copper conductors. Thin solid films1995,262 (1-2), 135-141
  48. 48. White, D.; Parson, T.; Lieten, R. R.; Fu, B.; White, M. In Understanding interfacial surface interactions, Surface Preparation and Cleaning Conference (SPCC), Portland, Oregon, USA, Portland, Oregon, USA, 2019
  49. 49. Hong, J.; Niu, X.; Liu, Y.; He, Y.; Zhang, B.; Wang, J.; Han, L.; Yan, C.; Zhang, J., Effect of a novel chelating agent on defect removal during post-CMP cleaning. Applied Surface Science2016,378, 239-244
  50. 50. Kim, H. J.; Lawyer, A.; Egan, B.; Ng, M.; Huang, C.-C.; Han, J.-H., Study on the mechanism of Nano-Flake defect during tungsten contact chemical mechanical polishing. ECS Journal of Solid State Science and Technology2018,7 (4), P175
  51. 51. Kim, H. J.; Govindarajulu, V.; Bohra, G.; Wang, H.-M.; Koli, D., Metal flake defect and its formation mechanism during replacement metal gate CMP process. ECS Journal of Solid State Science and Technology2016,5 (10), P637
  52. 52. Han, J.-H.; Hah, S.-R.; Kang, Y.-J.; Park, J.-G., Effect of polish by-products on copper chemical mechanical polishing behavior. Journal of the Electrochemical Society2007,154 (6), H525
  53. 53. Lu, H.-S.; Zeng, X.; Wang, J.-X.; Chen, F.; Qu, X.-P., The effect of glycine and benzotriazole on corrosion and polishing properties of cobalt in acid slurry. Journal of The Electrochemical Society2012,159 (9), C383-C387
  54. 54. Gale, G. W.; Cui, H.; Reinhardt, K. A., Aqueous cleaning and surface conditioning processes. In Handbook of silicon wafer cleaning technology, Elsevier: 2018; pp 185-252
  55. 55. Tang, J. S.; Lu, W.; Xi, B.; Martinez, E.; Li, F.; Ko, A.; Todd, C.; Lee, J. T. In Marangoni dryer integrated high performance cleaner for Cu/low k post strip clean for 45nm technology node and beyond, Solid state phenomena, Trans Tech Publ: 2008; pp 337-340
  56. 56. Lee, J.-H.; Ryu, H.-Y.; Hwang, J.-K.; Yerriboina, N. P.; Kim, T.-G.; Hamada, S.; Wada, Y.; Hiyama, H.; Park, J.-G., A breakthrough method for the effective conditioning of PVA brush used for post-CMP process. ECS Journal of Solid State Science and Technology2019,8 (6), P307
  57. 57. Kim, H. J. In HOLISTIC APPROACH TO THE UNDERSTANDING CMPINDUCED DEFECTS NCCAVS 2020 CMP User Group Spring Meeting, April 2020; 2020
  58. 58. Kim, H. J., Effect of brush treatment and brush contact sequence on cross contaminated defects during CMP in-situ cleaning. Tribology and Lubricants2015,31 (6), 239-244
  59. 59. Kim, H. J.; Bohra, G.; Yang, H.; Ahn, S.-G.; Qin, L.; Koli, D., Study of the cross contamination effect on post CMP in situ cleaning process. Microelectronic engineering2015,136, 36-41
  60. 60. Kim, H.; Hong, S.; Jin, Y.; Lim, D. H.; Kim, J.-y.; Hwang, H.; Kim, T., Investigation of copper oxide ring formation during post chemical mechanical polishing cleaning of Cu interconnect. ECS Journal of Solid State Science and Technology2017,6 (8), n542
  61. 61. Jones, C. W., Applications of hydrogen peroxide and derivatives. Royal Society of Chemistry: 2007
  62. 62. Thomas, E.; White, M.; White, D.; Das, A. K., Post cmp cleaning compositions for ceria particles. Google Patents: 2020
  63. 63. White, D. In High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates, Surface Preparation and Cleaning Conference (SPCC 2017), Austin, Texas, USA, Austin, Texas, USA, 2017
  64. 64. Babu, S. V., CMP: an overview, the good and not so good. In ICPT 2019, Hsinchu, Taiwan, 2019
  65. 65. Ong, P.; Teugels, L., CMP processing of high mobility channel materials: Alternatives to Si. In Advances in Chemical Mechanical Planarization (CMP), Elsevier: 2016; pp 119-135

Written By

Jihoon Seo

Submitted: 15 July 2020 Reviewed: 01 October 2020 Published: 29 October 2020