Open access peer-reviewed chapter

From Challenges to Solutions, Heteroepitaxy of GaAs-Based Materials on Si for Si Photonics

Written By

Junjie Yang, Huiwen Deng, Jae-Seong Park, Siming Chen, Mingchu Tang and Huiyun Liu

Submitted: 07 July 2023 Reviewed: 16 August 2023 Published: 06 December 2023

DOI: 10.5772/intechopen.114062

From the Edited Volume

Thin Films - Growth, Characterization and Electrochemical Applications

Edited by Fatma Sarf, Emin Yakar and Irmak Karaduman Er

Chapter metrics overview

92 Chapter Downloads

View Full Metrics

Abstract

Monolithic growth of III-V materials onto Si substrates is appealing for realizing practical on-chip light sources for Si-based photonic integrated circuits (PICs). Nevertheless, the material dissimilarities between III-V materials and Si substrates inevitably lead to the formation of crystalline defects, including antiphase domains (APBs), threading dislocations (TDs), and micro-cracks. These nontrivial defects lead to impaired device performance and must be suppressed to a sufficiently low value before propagating into the active region. In this chapter, we review current approaches to control the formation of defects and achieve high-quality GaAs monolithically grown on Si substrates. An APB-free GaAs on complementary-metal-oxide semiconductor (CMOS)-compatible Si (001) substrates grown by molecular beam epitaxy (MBE) only and a low TD density GaAs buffer layer with strained-layer superlattice (SLS) and asymmetric step-graded (ASG) InGaAs layers are demonstrated. Furthermore, recent advances in InAs/GaAs quantum dot (QD) lasers as efficient on-chip light sources grown on the patterned Si substrates for PICs are outlined.

Keywords

  • heteroepitaxy
  • III-V materials
  • Si
  • defects
  • integration

1. Introduction

Recently, InP and GaAs-based optical transceivers have been progressively replacing the traditional copper interconnect due to the unique properties of high transmission speed, larger bandwidth, and less cooling power required [1, 2]. Although great performances of III-V-based optoelectronic devices have been demonstrated, the cost and scalability limit the entry of their applications into the market of consumer electronics and massive production [3, 4]. In contrast, low-cost, high-bandwidth, and high-speed Si-based PICs and optoelectronic integrated circuits (OEIC) are ideal candidates to replace high-cost InP and GaAs-based PICs due to the large scalability and better thermal conductivity [3, 5, 6, 7, 8].

However, as a critical component of PICs, a highly reliable and efficient Si-based laser is missing due to the indirect bandgap structure of Si and Ge bulk materials [9, 10]. Fortunately, most III-V materials have superior optical properties and are ideal to be used as a laser gain medium, but a suitable integration method is needed to combine III-V materials and Si platforms [11, 12, 13, 14, 15, 16, 17, 18]. As one of the most mature techniques, wafer bonding has been commercially used in the Si optical transceivers but left a questionable yield and cost [19, 20, 21, 22, 23]. Even though the integration method of direct epitaxy of III-V materials on the Si platform could cause many types of crystal defects, which leads to a substantial deterioration in the device performance, it has great potential owing to various advantages of large-scale, high yield, low-cost, and dense integration [24].

The crystal defects generated at the III-V/Si interface will trap carriers and produce extra heat to the devices by forming nonradiative recombination centers [25, 26]. Hence, a proper strategy to reduce and eliminate these crystal defects becomes the most critical condition to realize high-performance Si-based III-V optoelectronic devices. In this chapter, we will introduce the generation of different types of crystal defects in terms of different physical dimensions, followed by their corresponding solution and recently demonstrated results. After that, recent advanced works of monolithic integration of III-V QD lasers on the Si platform along with optical waveguide are discussed.

Advertisement

2. Direct epitaxy of III-V materials on Si

Although the direct epitaxy of III-V material on Si offers substantial benefits, issues streaming from large material dissimilarities between these two materials lead to the generation of nontrivial defects. For instance, the different polarity, large mismatch of lattice constant, and incompatible thermal expansion coefficient (CTE) result in the formation of APBs, TDs, and micro-cracks, respectively. Extensive endeavors have been dedicated to advancing the growth techniques in the last decades to tackle these three main challenges in III-V/Si heteroepitaxy and realize high-performance III-V lasers integrated into Si. In this section, the mechanisms of defect formation and strategies to suppress the defects will be discussed.

2.1 Antiphase boundaries

A planar defect called APB is formed during the heteroepitaxy of polar III-V materials on nonpolar Si (001) substrates. Si (001) vicinal surface with a small offcut angle (<1°) exhibits terraces of alternating 1 × 2 and 2 × 1 dimerization, which are separated by Si single-atomic-height (S) steps [27, 28, 29, 30]. These Si S steps are classified into two groups, that is, Sa and Sb, depending on the dimer orientation in the upper terrace [31]. The schematic diagram of alternating S steps on the Si (001) surface is shown in Figure 1, where the Sa steps are straight, and the Sb steps are meandering due to thermal fluctuation [28]. The terrace width between the adjacent Sa and Sb steps is defined as L. The relationship between terrace width L and the offcut angle of the Si substrate θ is defined as

Figure 1.

Schematic diagram of Si (001) surface with S steps. Reprinted from reference [32] ©2021 the author under CC BY.

a=L×tanθE1

where a is the height of a single Si S step, corresponding to 0.136 nm.

In most zinc-blend structures, for example, III-As and III-P materials, different atoms occupy the two face-centered-cubic (FCC) sublattices. By contrast, identical atoms occupy FCC sublattices in the diamond crystal structure of Si [33]. During the heteroepitaxy of III-V materials on Si (001) substrates, the orthogonal Si dimers in adjacent terraces lead to the formation of two domains with opposite sublattice allocation, that is, antiphase domains (APDs). The interface between two APDs is an APB consisting of homopolar bonds (Ga▬Ga or As▬As bonds) and is considered as electrically charged planar defect [34]. APBs propagate within the epilayers and act as nonradiative recombination centers and electrical leakage paths, severely degrading the optoelectronic properties of devices due to their relatively large area [34, 35]. In addition, the elastic strain associated with APBs will distort the crystal lattice and deform the DFLs. As a result, a high TD density (TDD) will be observed in the active region [32].

The presence of large-scale APBs can be characterized by using an atomic force microscope (AFM), electron scanning microscope (SEM), or transmission electron microscope (TEM). Figure 2(a) shows a typical AFM image of GaAs grown on Si (001) substrate with dense APBs, illustrated as curved boundaries. In practice, APBs emerge at the edge of Si S steps, and most of them propagate through {110} planes to the surface at low growth temperature as {110} APBs exhibit the lowest formation energy when compared with {112} and {111} APBs in both GaAs and GaP [36]. The kink of APBs into higher index planes, for instance, {111} and {112} planes, depends on the growth temperature of the epilayer. This process is crucial for the self-annihilation of APBs at the intersection [36, 37]. In stark contrast, the Si dimers are in the same orientation on the double-atomic-height (D) stepped Si surface. As a consequence, the nucleation of APBs is suppressed during the growth of III-V materials on Si D steps [25]. The APB nucleation and propagation under different circumstances are summarized in Figure 2(b).

Figure 2.

(a) Top view AFM image shows dense APBs on the GaAs surface. (b) Schematic diagram of APB nucleation, propagation, and annihilation on Si steps.

A classic and common solution for suppressing APBs is to implement 4°–6° offcut Si substrates titled toward [110] direction, which preferentially forms D steps-dominated Si surface and thus inhibits the nucleation of APBs [28]. However, in order to be compatible with well-established CMOS processing technology, nominal Si (001) substrate with a misorientation of lower than 0.5° is required [38]. In the past decades, many techniques have been developed to achieve heteroepitaxy of APB-free III-V materials on on-axis Si (001) substrates, which will be introduced in the following contents.

2.1.1 Selective area growth

Selective area growth (SAG) allows III-V heteroepitaxy on the prepatterned Si substrates and attracts intensive scientific interest as it provides efficient defect reduction, attributed to epitaxy necking effects and aspect ratio trapping (ART) [39, 40, 41]. SAG of III-V materials on the narrow trenches with patterned vertical dielectric sidewalls (normally SiO2) ensures sufficient TD trapping if a high aspect ratio (AR) is defined. The AR is defined as the ratio of trench height h and width w:

AR=hwE2

During the epitaxy of mismatched III-V on Si substrates, the misfit dislocation (MD) inevitably formed at the interface due to strain relaxation. Glissile 60° MDs tend to form segments that thread up as TDs, which propagate freely on the {111} planes in <110> directions and move upwards to the epilayer surface [42, 43]. As for the TDs propagating on the {111} planes perpendicular or parallel to the trench orientation, TDs will eventually hit the vertical dielectric sidewalls and are trapped if sufficient AR is applied, as illustrated in Figure 3(a) case (1) and (2). Since {111} planes form an incident angle of 54.7° with [11¯0] direction, a minimum AR of 1.41 is required to terminate the TDs within the trench. Unlike the TDs, however, the trench only traps the planer defects lying on the {111} planes parallel to the trench orientation, as indicated by case (3) and (4) in Figure 3. Furthermore, V-grooved Si (001) substrates with {111} facets, formed by using wet etching, were developed to prohibit the formation of APBs even with the presence of S steps [25, 44], as shown in Figure 3(b).

Figure 3.

(a) Schematic diagram showing TD and PD propagation within the narrow trenches with patterned vertical dielectric sidewalls. The APB nucleation is prohibited during the growth of III-V on V-grooved Si (001) substrate with {111} facets (b) even on a Si (111) S step.

Through V-grooved Si {111} surfaces via an ART process, Li et al. successfully demonstrated an APB-free GaAs-on-V-grooved Si (GoVS) template [45]. The Si (001) substrate patterned with [110] direction SiO2 strips was etched by KOH solution to form V-grooved Si {111} facets as the etching rate of Si being the lowest in the (111) plane [44]. SAG of GaAs nanowires was performed using a metalorganic chemical vapor deposition (MOCVD) system with a two-step growth method. Figure 4(a) shows the initial growth of GaAs on the V-grooved substrate, and planar GaAs nanowire is observed without APBs, thanks to the Si {111} facets. Interestingly, the unique “tiara”-like shape formed by Si undercut blocks the propagation of stacking faults through {111} planes, as shown in Figure 4(b). After removing the SiO2 strips by a buffered oxide etch, coalesced GaAs thin film was grown to finish the template. High-quality APB-free GaAs thin film was obtained after 300 nm GaAs overgrowth, as shown in Figure 4(c).

Figure 4.

(a) Cross-sectional TEM image showing the growth of GaAs on the V-grooved Si (001) substrates. (b) Defects are trapped by the “tiara”-like shape formed by Si undercut. (c)cross-sectional SEM of grown GaAs in the GoVS template. Reprinted with permission from [45] ©2015 AIP publishing.

In addition, Wei et al. proposed a novel way of forming Si {111} surfaces by homoepitaxy of Si on the U-shaped patterned Si (001) substrate. The U-shaped pattern along [110] direction is formed with a period of 360 nm, ridge width of 400 nm, and depth of around 500 nm by the deep ultraviolet photolithography (DUV) and subsequent dry etching, as shown in Figure 5(a) [46]. The U-shaped patterns will then be dipped in a diluted hydrofluoric acid to form a hydrogen-terminated surface and transferred into an IV molecular beam epitaxy (MBE) chamber for the deoxidation and subsequent homoepitaxy of 500 nm Si to form {111} facets, as shown in Figure 5(b). Further deposition of Si to 550 nm leads to the merging of Si ridges and finally forms evolvement of (111)-faceted-sawtooth surface, which promotes the APB-free GaAs in the subsequent growth.

Figure 5.

(a) Cross-sectional SEM image showing a U-shaped patterned Si (001) substrate. (b) Si {111} facets formed by Si homoepitaxy. Reprinted with permission from [46] ©2015 AIP publishing.

2.1.2 MOCVD/MOVPE grown APB-free GaAs/Si (001)

Although the pioneering works on SAG growth of APB-free III-V/Si (001) have been proved promising, the sophisticated processing and patterning of the Si surface are costly and time-consuming. Regarding the direct growth of III-V materials on planer Si (001) substrates, forming the D steps-dominated Si surface is the most straightforward idea to solve the APB issue. Thus, it has also been widely investigated. The Si D steps can be formed by high-temperature annealing of Si (001) substrates with proper hydrogen chemical potential, attributed to the preferential and selective etching of Sb steps by hydrogen [34]. This process is strongly related to the width of neighboring Si terraces. According to Eq. (1), a slightly large offcut angle of >0.1° is desired to erase Sb steps to a sufficiently low value and form the D steps-dominated Si surface.

Volz et al. achieved APB-free GaP on Si (001) substrate with an offcut angle of ∼0.12° using metalorganic vapor phase epitaxy (MOVPE) [47, 48, 49]. A 500 nm Si buffer layer was first deposited, followed by postgrowth hydrogen annealing with the pressure of 950 mbar for 10 min at 975°C to obtain a D steps-dominated Si surface with an average terrace distance of ∼120 nm. Nevertheless, S steps still appeared as a triangle-shaped form and existed between two neighboring D steps, covering ∼15% of the surface area [37]. During the epitaxy of GaP on the Si buffer layer, APBs exist because of imperfect Si D steps. The distribution of APBs that resembles the underlying Si S steps is illustrated in Figure 6(a), where the triangle-shaped S steps lead to the formation of APBs in two orthogonal directions [110] and [1¯10]. The kinking and self-annihilation of APBs through energy favorable {112} is observed in the direction [110], which is perpendicular to the Si step orientation [36, 48]. The typical basal width of 180 nm for the S terrace yields a maximum height of 65 nm for APBs to be fully annihilated in GaP, as presented in Figure 6(b). Since the triangle-shaped S steps become narrow along [110] direction, the decrease in basal length results in faster annihilation of APBs, which is confirmed by the TEM measurements in Figure 6(c).

Figure 6.

(a) TEM plane view of GaP grown on pretreated Si buffer layer. Cross-sectional TEM measurement in (b) [110] and (c) [−110] cross-sections showing anisotropic APDs. Reprinted with permission from [48] ©2011 AIP publishing.

Based on this technique, a GaAs/GaP/Si (001) is developed as one of the most commercially successful templates for developing Si-based on-chip light sources. Many remarkable results have been reported based on this platform [50, 51, 52].

In contrast, Alcotte et al. selected a Si (001) substrate with a slightly larger miscut angle of 0.15° toward [110] direction to further enhance the etching of Sb steps. They demonstrated an APB-free GaAs grown on a Si (001) substrate by MOCVD without intermediate Si buffer layers [35]. Prior to growth, a Si wafer was first deoxidized in a SiConi™ chamber using an NF3/NH3 plasma. High-temperature hydrogen annealing at 850 to 950°C was then carried out to form Si D steps, as indicated in Figure 7(b). A surface of GaAs grown on the un-optimized Si substrate is shown in Figure 7(a), where a high density of APBs is visible. By utilizing an optimized Si substrate with the dominated Si D steps, a 150 nm APB-free GaAs epilayer was obtained with a low surface roughness of 0.8 nm for a 5 × 5 μm2 AFM scan, as presented in Figure 7(c).

Figure 7.

(a) AFM image of GaAs grown on an un-optimized Si substrate showing high density of APBs. (b) Si D steps formed after annealing a Si substrate under optimized conditions. (c) AFM image of a 150 nm APB-free GaAs layer grown on Si (001). Reprinted from reference [35] ©2016 the authors under CC BY.

2.1.3 MBE grown APB-free GaAs/Si (001)

Indeed, the above-mentioned APB-free III-V templates grown by MOCVD/MOVPE have achieved great success in commercialization. Nevertheless, the requirement of a hydrogen source is unsuitable for migrating such methods into MBE systems. The MBE system has a unique advantage in obtaining high-quality QDs [53], which are insensitive to defects and have been regarded as one of the most promising gain media for high-performance Si-based on-chip laser sources [54]. Developing an APB-free III-V layer by a single system simplifies the growth process and is economical in the long term.

This need was first satisfied by Kwoen et al. who have successfully grown APB-free III-V lasers on on-axis Si (001) by MBE using a high-temperature Al0.3Ga0.7As nucleation layer (NL). In the study, four samples with identical structures except for the composition of Al in the first 40 nm AlxGa1-xAs NL were grown and compared by SEM and photoluminescence (PL). It was concluded that Al0.3Ga0.7As NL promoted self-annihilation of APBs and delivered the best GaAs quality. Based on this platform, InAs/GaAs-based QD lasers were developed with high operating temperature [55, 56].

Recently, Li and Yang et al. proposed a new method of using periodic Si S steps to redistribute the APB nucleation and promote the APB annihilation during optimized GaAs overlayer growth by a dual-MBE system [57, 58]. In their study, on-axis Si (100) substrates with unselected miscut angles of 0.15 ± 0.1° toward <110> were deoxidized at 1200°C for 30 min in the group-IV MBE. A 100 nm Si buffer layer was first grown at 850°C by using a Si e-beam source. This was followed by five iterations of 20 nm thin Si grown at 850°C and annealed at 1200°C to reconstruct the surface and form periodic Si steps. The wafer was then transferred into the III-V chamber for subsequent growth. The schematic image showing the APB-free GaAs buffer layer structure is illustrated in Figure 8(a), which started with a low temperature (LT) Al0.4Ga0.6As NL grown at 330°C, and the growth rate was 0.1 monolayers per second (MLs−1). A temperature ramping step with a ramp rate of 10°C Min−1 was applied afterward. At the same time of increasing temperature, GaAs was deposited simultaneously at a rate of 0.6 MLs−1. This growth-during-ramp method was also applied in the following temperature ramping steps. Following the Al0.4Ga0.6As NL, a three-step GaAs growth technique was implemented, consisting of 190 nm LT, 180 nm mid-temperature (MT), and 340 nm high-temperature (HT) GaAs grown at 350, 420, and 580°C respectively. The temperature ramping step was inserted between these GaAs layers, and the total GaAs buffer layer thickness was 1 μm.

Figure 8.

(a) Schematic image of the APB-free GaAs buffer layer structure. (b) APB-MTE formed during high-temperature annealing. Reprinted from [58] © 2022 the authors, under CC BY.

In contrast to the growth parameter proposed by Kwoen et al. [59], the NL was grown at LT of 330°C in this study to avoid the formation of APB-modified thermodynamic equilibrium (APB-MTE). In APB-MTE, a (110) APB tends to enlarge, resulting in the formation of two APDs with opposite polarity, as shown in Figure 8(b) [58, 60]. Most recently, this growth strategy has been proved efficient by Gilbert et al. as it maintains the terrace-driven nature of APBs in initial nucleation rather than nucleation-driven, leading to controllable APB burying in the GaAs overgrowth [61]. Besides, the growth-during-ramping method helps to elongate the {110} APBs while preventing the APB-MTE. In addition, a suitable high growth temperature aids in the reconfiguration of the APBs into higher index planes, ultimately promoting the annihilation of APBs.

To examine the impact of the Si buffer layer on APB annihilation, a comparative analysis of the surface morphologies was conducted between Si substrates with and without an annealed Si buffer layer, as shown in Figure 9. For the deoxidized Si surface, the random distribution of Si atomic steps is observed in Figure 9(a). These undulating steps arise from the interaction between distinct stress domains on the Si surface, leading to the reduction in the overall elastic energy of the Si surface at a small offcut angle [62, 63]. In stark contrast, Figure 9(b) and (c) present the periodic S steps with a step height of ∼0.13 nm, demonstrating only Si S steps instead of D presented on the Si surface after HT annealing [64, 65].

Figure 9.

5 ×5 μm2 AFM image of (a) a deoxidized Si substrate and (b) a surface-reconstructed Si buffer layer. (c) 2 ×2 μm2 AFM image of the Si buffer layer with periodic S steps. (d) Height measurement of Si steps, showing Si surface is mainly single-stepped. Reprinted from [57] © 2020 the authors, under CC BY.

Two samples (sample A without Si buffer layer and sample B with Si buffer layer) with identical GaAs growth methods were grown and compared to test the impact of the Si S steps on APB annihilation. The cross-sectional TEM measurements are taken with a viewing direction of [1¯10] for Figure 10(a)(d) and [110] for (e) and (f). As shown in Figure 10(a)(d), APBs nucleate and propagate through the energy-favored {110} planes during LT GaAs growth. The APB propagation plane is configured to higher index planes in the high-temperature growth region, contributing to APB self-annihilation. The twisted patterns that demonstrate randomly distributed APB nucleation during GaAs/Si (001) (Sample A) are observed in Figure 10(a). In contrast, periodic APBs occur when GaAs are grown on the Si buffer layer (Sample B), as illustrated in Figure 10(c).

Figure 10.

Cross-sectional TEM images from [−110] viewing direction showing APB nucleation and self-annihilation for (a) regional and (b) 1 μ m range of sample a and (c) regional and (d) 1 μ m range of sample B. TEM images from [110] viewing direction for (e) sample a and (f) sample B. reprinted from [32] ©2021 the author under CC BY.

In both samples, APBs tend to intersect and annihilate with each other within the high-temperature growth region. However, in Figure 10(a), the randomly distributed APBs shown in sample A propagate randomly within the GaAs, making them extremely difficult to eradicate effectively. The remaining APBs thus penetrate through the whole structure, as displayed in Figure 10(b). In contrast, the well-organized APBs that nucleate on (Sa + Sb) resemble the underlying S steps and are closely spaced. The high growth temperature applied afterward sufficiently promotes the complete destruction of APBs within ∼500 nm, as shown in Figure 10(d).

Furthermore, the APBs that penetrate through the whole GaAs buffer layer in sample A are noticed from [110] viewing direction, as indicated in Figure 10(e). By contrast, since the Si buffer layer is populated by S steps in [110] direction, the APBs that nucleate on these S steps resemble the step orientation, leaving no APB observed in [110] viewing direction, as shown in Figure 10(f). This observation differs from the aforementioned APB-free GaP/Si growth, where triangle-island-shaped Sb steps are left near the edge of the D steps. During subsequent growth of GaP on these S triangle islands, the APBs that resemble the underlying Si steps can be found in two orthogonal directions, that is, [110] and [1¯10] [48, 49, 66].

The annihilation of APBs at HT is attributed to the difference in GaAs growth rate of the two domains. During the deposition of GaAs on Si, an Arsenic (As) prelayer is adopted to avoid Ga etching, and As resembles the underlying Si dimer orientation. Since Ga atoms diffuse mainly along the As dimer direction, GaAs deposited on the upper terrace of Sa are more likely to grow along the [110] direction (main phase), and GaAs deposited on the upper terrace of Sb will grow along [11¯0] direction (antiphase), as indicated in Figure 11(a) [67, 68]. The main-phase GaAs grow faster than antiphase GaAs in the [110] direction, forcing neighboring APBs to intersect toward each other during temperature increases. As a result, the annihilation of terrace-driven APDs is facilitated, as shown in Figure 11(a).

Figure 11.

(a) Schematic diagram of terrace-driven APB burying method. The area within the closed-loop APBs is considered as an antiphase, while the outside is the main phase. (b) Antiphase GaAs are buried by main-phase GaAs during the growth. Reprinted from [58]© 2022 the authors, under CC BY.

Interestingly, it has been reported that {110} APBs help to reduce TDs during GaAs overgrowth [58]. {110} APBs trap {111} TDs to climb along it and promote the termination of TDs with opposite Burger vector signs. In addition, the trapped TDs can glide through other {111} planes and might be captured again by other {110} APBs. This process will recur until TDs are terminated or move beyond the APBs. Consequently, the TDD level reaches 8 × 108 cm−2 for GaAs grown on Si (001) substrate with periodic {110} APBs, which is half of GaAs grown on Si offcut substrate with identical growth structure. This result reveals the probability of controlling both APBs and TDs simultaneously and achieving a high-quality APB-free GaAs/Si (001) template by optimizing the GaAs growth technique in the future.

2.2 Dislocations

The second issue that hinders the direct epitaxy of III-V materials on Si is the formation of dislocations. Most III-V materials, except for GaP and aluminum phosphide (AlP), have a large lattice mismatch with Si. During the III-V-on-Si mismatched heteroepitaxy, the strain energy accumulated inside the epilayer is proportional to the epilayer thickness. Once the thickness of the strained layer exceeds a certain value, the so-called critical thickness, MDs, are formed at the interface to relax the accumulated strain. These MDs introduce missing or dangling bonds along the mismatched interface. The commonly occurred MDs can be classified into two types: (1) edge MDs with Burgers vector lying in the interface (001) plane and perpendicular to the line direction. This type of dislocation mainly originates from Si steps and is “sessile”. (2) 60° MDs with Burgers vector of 60° to the dislocation line and 45° to the substrate, and this type of dislocation is termed “glissile”. Since dislocations are one-dimensional defects and cannot terminate within a crystal, 60° MDs will move toward the edge of the crystal or form segments that thread up as TDs, which propagate freely along the {111} planes and penetrate through whole epi-layers. The TEM image of MD and TD are illustrated in Figure 12. TDs introduce deep states and act as nonrecombination centers for carriers, which leads to short carrier lifetime, low photon emission efficiency, and impaired device performance [43].

Figure 12.

Cross-sectional bright-field TEM image showing MDs and TDs.

Several strategies were developed to control TDD in the past decades, aiming to reduce TDD to a low value of ∼10−6 and 10−5 cm−2, which is close to the TDD on the native substrate. For instance, inserting a Ge intermediate or SiGe-graded buffer layer effectively bridges the lattice mismatch between Si substrates and III-V [69]. Besides, the three-step GaAs growth method is commonly utilized to control TDD within the GaAs buffer layer for the GaAs-on-Si system. A thin AlAs nucleation layer grown by migration-enhanced epitaxy is also adopted to suppress three-dimensional defects raised at the III-V/Si interface [70], which is followed by MT and HT GaAs to minimize the point defects during epitaxy [54]; Thermal cycle annealing (TCA) is another effective tool as it provides extra thermal stress to enhance the motion of TDs and promote higher probability for TD interaction [71].

In addition to the previously mentioned strategies, inserting SLSs serving as dislocation filter layers (DFLs) is another effective method that can sufficiently reduce the TDD. SLSs consist of periodic lattice-mismatched thin layers without strain relaxation. The unreleased strain tends to bend TDs at the SLSs interface and forces them to move laterally toward the edge of a crystal (parallel to the interface), enhancing the probability of intersection and termination of TDs, as shown in Figure 13. Besides, MD segments form at the SLSs interface as TD moves, relieving the misfit and reducing the net glide force of TDs to zero [43].

Figure 13.

Cross-sectional TEM image of GaAs grown on on-axis Si (001), showing TD propagation and annihilation within four sets of In0.18Ga0.82As/GaAs DFLs.

2.2.1 Optimization of SLSs and asymmetric step-graded filter structure

Optimizing SLSs to improve their filtering efficiency has been extensively explored. To design a proper SLS, the strain force must be first considered. A trade-off appears as a higher strain force strengthens the filtering ability, while an over-strain force leads to new defects. Thus, for the most commonly used InGaAs/GaAs system, the indium (In) composition and the thickness of GaAs space layers for InxGa1-xAs/GaAs DFLs must be carefully designed. Tang et al. demonstrated that 18% of indium (among 16, 18, and 20%), along with a 300 nm GaAs space layer, delivered the best filter efficiency in the design of InxGa1-xAs/GaAs DFLs [72]. Besides, in situ thermal annealing was applied for each set of DFLs when the growth was being pulsed in the reactor. This approach further reduced the TDD, as the motion of TDs was enhanced, and thus, a higher possibility for TD self-annihilation was achieved.

Shang et al. provided a comprehensive study of optimizing DFLs on GaAs/GaP/Si (001) templates, which formed the basis for the high-temperature InAs/GaAs QD laser with an extrapolated lifetime of over 22 years [51, 71]. In this study, In0.15Ga0.85As (10 nm)/GaAs (x nm) × 20 SLSs as DFLs were grown and compared. X represents values 10, 7, 5, 2, 0. A decreasing trend of filter efficiency was observed when x became lower, attributed to a higher degree of relaxation for In0.15Ga0.85As. Based on this observation, 200 nm InxGa1-xAs were further analyzed with various indium (In) compositions (10, 15, 17.5, 20, and 25%). It was concluded that 15 and 17.5% In composition delivered the best filtering efficiency, and a clear blocking effect of TDs was observed for an In composition higher than 20%. Furthermore, Shang et al. developed novel filter layers with ASG filter structure, which helps to reduce blocking effects, as shown in Figure 14(a). Ten minutes of annealing at 530°C was applied for each InGaAs layer to promote tensile relaxation. Finally, TDD of 2 ×106 cm−2 was achieved based on this structure, as shown in Figure 14(b). This is also proven by TEM results shown in Figure 14(c). Almost all TDs are blocked by this ASG filter structure, leaving the top GaAs layer with ultra-low TDD.

Figure 14.

(a) Schematic diagram of InGaAs ASG layers. (b) ECCI image showing TDD of 2 ×106 cm−2. (c) Cross-sectional TEM image of InGaAs ASG layers. Reprinted from [71] © 2020 the authors, under CC BY.

2.2.2 Trapping layer

During the postgrowth cooldown period, thermal stress-induced TD motion happens as a result of the large mismatch in CTE between III-V and Si. Once TDs encounter the In-contained DWELL structure, the mechanically hardened active region forces TDs to move laterally, leaving behind the MDs at the interface and severely degrading the optical properties of QDs. To solve this issue, Selvidge et al. inserted In-contained trapping layers (TL) to displace MDs above and below active region, which dramatically improved the optical prosperities of QDs [73]. The thickness of InGaAs TLs was kept below critical thickness (7 nm) without introducing extra MDs. As shown in Figure 15, inserting TLs does not contribute to TD reduction, but it displaces the MD formation below it rather than the active region to minimize the decremental impact brought by MDs. TLs were also applied in the laser structure to explore its efficiency, as illustrated in Figure 16(a). 7 nm In0.15Ga0.15As and In0.15Al0.15As were placed 80 nm above and below the active region sandwiched by cladding layers to minimize the effect of the electrical barrier due to bandgap alignment. From Figure 16(b) and (c), TLs were effective for displacing MDs along it rather than on DWELL. This observation is consistent with Figure 16(cf), where MDs lie on the DWELL when no TLs are inserted. Most MDs lie on the TLs, and further glide of TD segments does not introduce extra MDs in the QD lasers. It was also demonstrated that the laser with TLs exhibited half of the threshold current (even lower than state-of-art lasers on Si when higher TDD is presented in this case), a 60% increase in slope efficiency, and ∼ 3.4 times improvement in peak single facet output power, revealing the effectiveness of TLs. Such performance is comparable to Si-based QD lasers with one magnitude lower TDD. Compared with the structure consisting of thick DFLs, the insertion of thin TLs is more effective in improving laser performance without introducing a thick epilayer, which is beneficial for the yield and massive production of Si-based PICs in the long term.

Figure 15.

Schematic diagrams showing MD formed (a) without and (b) with InGaAs TLs. Reprinted with permission from [73] ©2020 AIP publishing.

Figure 16.

(a) Schematic diagram of proposed laser structure with TLs. (b) Cross-sectional bright-field TEM image showing MD segments appear on TLs, as indicated by black arrows. (c) Zoom-in image of (b). Cross-sectional tomographic reconstruction showing (c) MDs lie on the 5th QDs in laser structure without TLs. (d) MDs lie on the TLs. (e) Part MDs lie on the TLs, and part lie on the 5th QD. Reprinted with permission from [73] ©2020 AIP publishing.

Recent developments of reducing TDD in GaAs monolithically grown on Si (001) substrates by combining previously mentioned strategies are highlighted in Table 1.

YearSubstrateDislocation filter layer structureTotal buffer thickness (μm)TDD (cm−2)Ref
2021V-grooved Si (001)Two sets of five periods of In0.15Ga0.85As/GaAs SLSs and two sets of five periods of In0.15Al0.85As/GaAs SLSs2.11.6 ×107[74]
2020GaP/Si (001)In0.05Ga0.95As/ In0.1Ga0.9As/In0.05Ga0.95As ASG2.551.5 ×106[71]
2020Si (001)Two sets of five periods of In0.15Ga0.85As/GaAs SLSs2.63 ×107[75]
2020GaP/Si (001)Single In0.1Ga0.9As layer2.37.3 ×106[76]
2019GaAs/Si (001)Four sets of five periods of In0.18Ga0.82As/GaAs SLSs2.35 ×107[77]
2019Si (001)Three sets of five periods of In0.15Ga0.85As/GaAs SLSs2Below 108[55]
2017GaP/Si (001)Ten periods of In0.1Ga0.9As/GaAs SLSs3.18.4 ×106[78]

Table 1.

Summary of recent optimization of DFL layers for GaAs monolithically grown on Si (001) substrates.

2.3 Cracks

Controlling defect density to a sufficiently low value requires a thick buffer layer with several micrometers, which introduces the formation of micro-cracks. Because of the large mismatch in CTE between III-V materials and Si, for example, 5.73 ×10−6°C−1 for GaAs and 2.6 × 10−6°C−1 for Si, the accumulated thermal stress during the growth is relieved by forming micro-cracks and wafer warping when the epilayer cools down from high growth temperature to room temperature [79]. Theoretically, cracks form along the [110] and [1, 2, 3, 4, 5, 6, 7, 8, 9, 10] directions when the elastic energy exceeds a critical value to generate two new surfaces, as shown in Figure 17. In addition, it is also proved that crack formation originates from other preexisting defects [80] and layer thickness. For example, Yang et al. reported that the crack density increases sharply about three times when the thickness of the GaAs layer on Si increases from 5 to 6.7 μm [81]. Similar to other defects, micro-cracks are detrimental to the device’s performance as they serve as scattering centers for light propagation and electrical leakage paths [81]. Additionally, the high density of micro-cracks will significantly reduce the total yield of devices [82]. Hence, controlling micro-crack is crucial for the mass production of Si-based PICs in the future.

Figure 17.

SEM image of cracks in orthogonal directions. Reprinted from [79] © 2022 the authors, under CC BY.

A prolonged cooling down period with a slower cooling rate is suggested after growth to prevent the micro-cracks [79, 83]. Furthermore, SAG of III-V materials helps to prevent micro-cracks formation by alleviating thermal stress. However, dense defects, including TDs and stacking faults, will be generated near the pattern edge, degrading crystal quality. Moreover, in large patterned areas, micro-cracks remain on the sample surface [84]. Even though diverse techniques have been demonstrated, keeping the device thickness below the cracking threshold is the most economical and effective way [81].

As cracks are formed when the elastic energy exceeds a certain limitation, the thickness of the epilayers is the most prominent and essential reason for the crack formation. Yang et al. proposed the relationship between the critical cracking thickness and a dimensionless driving force number Z [85], which calculates the energy released per unit area for the crack:

G=Zσ2tEf¯E3

where σ is the stress in the thin film, t is the thin film thickness and Ef¯ denotes the biaxial modulus. In a typical system where the substrate and the epilayer have similar elastic moduli, the Z should be within 2 to 4. The stress in the thin film can be calculated as:

σ=Ef¯αfαsTE4

where αf and αs are the CTE of the thin film and the substrate, respectively. While the T is the temperature difference between the growth temperature and the room temperature. The critical thickness for crack formation can be derived, provided that the fracture resistance Γ is twice the energy release rate G [85, 86]:

tc=ΓEf¯Zσ2E5

Based on the mathematical model given above, the cracking threshold of GaAs is estimated as 3.9 μm when it is cooled down from the growth temperature of around 600°C to room temperature. However, for most growth of high-performance III-V compound semiconductor devices on Si, the structure was usually above 4 μm due to the utilization of a thick buffer layer to minimize the TD generated at the interface.

Furthermore, Shang et al. further improved the previous model and shed light on the relationship among dislocation density, film thickness, cooling rate, and crack formation [79]. It has been suggested that lower TDD induces higher equi-biaxial stress in the film during the cooling down period. The critical thickness is inversely proportional to the cool rate and TDD, as shown in Figure 18. It is suggested that with a low TDD of 1.0 ×106 cm−2 and a low cool rate of 1°C min−1, the critical thickness of cracking is approximately 6 μm. Therefore, a thin epilayer with a low cooling rate is of pinnacle importance to prevent micro-cracks.

Figure 18.

Relationship among critical thickness, cooling rate, and TDD. Reprinted from [79] © 2022 the authors, under CC BY.

Recently, Yang et al. used an optimized 300 nm Ge buffer layer to replace part of the thick GaAs buffer layer in the laser structure while keeping the TDD unchanged [82, 87]. As a result, the total thickness of the laser structure can be reduced to approach the cracking threshold without bringing any negative effects. A comparison between TD propagation for GaAs deposited directly on a Si substrate and a Ge/Si virtual substrate (VS) is demonstrated in Figure 19. As shown in Figure 19(a), a high density of defects is generated at the GaAs/Si interface, and almost ∼109 cm−2 TDD is observed underneath the first DFL [54]. In contrast, a much lower TDD of ∼6 ×108 cm−2 is obtained in the 300 nm Ge buffer layer attributed to the adoption of HT TCA between 600 and 900°C, as indicated in Figure 19(b). This TDD is comparable to the 1.4 μm GaAs monolithically grown on Si with one set of DFL. In addition, MDs are barely introduced in the subsequent GaAs growth since the lattice constants of Ge and GaAs are almost identical. As a result, TDD reaches 4 ×106 cm−2 after applying four sets of DFLs. Based on this result, a high-quality InAs/GaAs QD laser was developed with high operation temperature, revealing the feasibility of using Ge/Si platform for reducing micro-cracks in the future.

Figure 19.

Cross-sectional TEM images of GaAs buffer layer grown on (a) a Si substrate and (b) a Ge/Si VS. reprinted from [82] © 2021 the authors, under CC BY.

2.4 Summary

Recent progress in controlling crystal defects during the heteroepitaxy of III-V materials on Si substrates has been reviewed in this section. Several newly developed techniques were applied for Si-based PICs, which will be discussed in the following contents.

Advertisement

3. Photonic integrated circuits

The idea of using Si-based PICs in which all major photonic functions are monolithically integrated on a single Si or Si-on-insulator (SOI) substrate has emerged to promote rapid advances in quantum photonics, quantum computing, LiDAR, and artificial intelligence-powered nanophotonics [4, 88]. It contributes to the better life quality of consumers with low cost due to the low material cost and large wafer size of Si [4, 89, 90]. Over the past decades, an unprecedented boom of key components of Si photonics, including Si-based modulators [91], photodetectors [92], and waveguides [93], has been witnessed. Until now, an efficient, electrically pumped Si-based laser remains a missing piece and becomes the roadblock to the commercialization of Si-based PICs.

To circumvent the inherent limitations of Si, integrating direct-bandgap III-V materials onto Si has been regarded as an attractive approach for the Si-based on-chip light source in PICs. Such integration leverages the benefits of superior optical properties of III-V materials, along with large wafer sizes and the low-cost and mature processing technology of Si. Direct epitaxy of QD-based laser on Si substrates has achieved remarkable progress [51, 54, 94, 95]. Various novel laser structures were reported with superior performance, such as distributed feedback lasers [96], comb lasers [97], photonic crystal lasers [98], topological lasers [99], etc. All key optical components integrated on a single SOI substrate are highly desired as they offer high integration density and great compatibility with the current Si microelectronics platform. Until now, the integration of III-V gain regions on SOI substrates mainly relies on wafer bonding, in which light is evanescently coupled to underlying Si waveguides [9, 20]. However, from the commercialization perspective, direct epitaxy is economically favored in terms of cost, yield, and scalability. Considering the integration of on-chip laser sources, the thick, defective buffer layer adopted for direct epitaxy of III-V materials on Si hinders the evanescent coupling of light from gain regions to underlying Si waveguides. In this case, SAG growth of laser structure on a trenched substrate and butt-coupled to the embedded, prepatterned waveguide is promising for fulfilling the last missing piece of Si-based PICs. In addition, SAG helps to alleviate the thermal stress of films, potentially preventing the formation of cracks [79]. On the other hand, such a method is nontrivial as it demands restricted design to minimize the alignment deviation between the central axis of the embedded Si waveguide and the InAs/GaAs QD active region and careful handle of polycrystal after the overgrowth of III-V on oxide.

Shang et al. recently reported the first electrically pumped continuous-wave (c.w.) InAs/GaAs QD lasers grown on a patterned 300 mm substrate [100]. In this study, the 200 nm GaP/Si template by NAsP III/V GmbH was adopted in trenches to prevent APBs [47, 66]. This was followed by a 1.6 μm GaAs buffer layer and InGaAs asymmetric graded dislocation filter layers to reduce TDD to around 1.5 × 107 cm−2. The active region consists of five stacks of InAs/GaAs DWELL structure separated by 37.5 nm GaAs space layers. The QD nucleation temperature was precisely determined using indium as an ex situ “temperature gauge” in this template to ensure high-quality QDs. As a result, room temperature with a wavelength of 1300 nm and a full width at half maximum (FWHM) of 32 meV was achieved for QDs. The as-grown 300 mm wafer from IQE with an identical growth method is shown in Figure 20(a). The milky wafer surface caused by the deposited polycrystalline III-V on the oxide brought challenging tasks for device fabrication. A wet etch process for nonselective polycrystal removal facilitates the following fabrication process, as shown in Figure 20(b). The top-down view of the as-cleaved laser with probe metal and the cross-sectional SEM image of the fabricated laser with a ridge width of 3.5 μm in a 20 μm trench are demonstrated in Figure 20(c) and (d), respectively.

Figure 20.

(a) As-grown 300 mm wafer surface. (b) the fabrication process of the SAG laser. (c) As-cleaved laser with probe metal. (d) Cross-sectional SEM image of the fabricated laser in a 20 μm trench. ©2022 the authors under CC BY.

Finally, an electrically pumped InAs/GaAs laser was demonstrated with c.w. lasing up to 60°C, a maximum double-side power of 126.6 mW, and a threshold current of 47.5 mA. However, this work only presents a demo of an in-trench laser without demonstrating butt coupling between the laser and the embedded waveguide.

In a parallel effort, Wei et al. took a step further to test the butt coupling efficiency between their embedded InAs/GaAs QD lasers and Si waveguides. Figure 21(a) shows a schematic diagram of butt coupling between a trenched laser and a patterned Si waveguide. The fabricated devices are displayed in Figure 21(b) and (c). Prior to growth, laser trenches and Si waveguides are prepatterned in an eight-inch SOI wafer, and the periodic Si gratings are patterned inside the trench with 146 nm slab width and a 209 nm gap, as demonstrated in Figure 21(d) and (e).

Figure 21.

(a) Schematic image of butt coupling between laser structure and Si waveguide. (b), (c) SEM and microscope images of trenched InAs/GaAs laser structure with prepatterned Si waveguide. (d)eight-inch wafer with predefined trenches and waveguides. (e) Microscope images of trenches for laser epitaxy and embedded Si waveguide. (f) and (d) patterned Si grating with 146 nm slab width and 209 nm gap for Si homoepitaxy and form Si {111} surfaces. ©2023 the authors under CC BY.

Instead of using a commercially available 200 nm GaP/Si template, Wei et al. adopted homoepitaxy of Si on the grating-patterned SOI trenches, which forms Si {111} facets to prevent the formation of APBs [46, 101]. The trenched laser is demonstrated in Figure 22(a). A combination of a thin AlAs nucleation layer, a 2.1μm GaAs buffer layer consisting of InGaAs/GaAs DFLs, and GaAs/AlAs SLSs was adopted to reduce TDD to 2.6 × 107 cm−2 while maintaining low surface roughness of 0.8 nm in a 5 × 5 μm2 AFM scan, as shown in Figure 22(b)(d). The active region consists of seven stacks of InAs/GaAs DWELL structure separated by 39 nm GaAs space layers, sandwiched by 400 nm GaAs contact layers and Al0.4Ga0.6As cladding layers. A step-graded AlGaAs layers were also adopted to enhance the current injection efficiency. A comparison of PL measurements between a laser with an identical structure grown on a trench and a GaAs (001) is given in Figure 22(e). A similar PL intensity with a narrow FWHM of 33 nm is observed for trenched laser, attributed to high density and high uniform QDs, as shown in the inset of Figure 22(e).

Figure 22.

(a) Schematic image of the trenched laser structure. (b) 5 × 5 μm2 AFM image of 2.1μm GaAs buffer layer. (c) TDD of 2.6 × 107 cm−2 is obtained for the GaAs buffer layer. (d) Cross-sectional TEM image of GaAs/Si (111) interface. (e) Comparison of PL measurement of trenched QD laser and blanket GaAs (001) laser with identical growth structure. Inset: Surface morphology of grown InAs/GaAs QDs of trenched laser. ©2023 the authors under CC BY.

In this study, H3PO4:H2O2:H2O (1:2:20) wet etching was applied to remove unwanted polycrystalline III-V materials before fabrication. The trenched laser was processed with one-side cleaved and coated with a high-reflection coating. While the other side implements wet etch followed by two-step focused ion beam milling to produce high-quality facets. A High-performance trenched QD laser was fabricated with c.w. lasing up to 85°C, low threshold current of 50 mA and maximum output power of 37 mW at an injection current of 250 mA. Butt coupling efficiency between QD active region and Si waveguide was determined. A maximum power of 6.8 mW was measured at the end tip of the Si waveguide, indicating ∼−6.7db coupling efficiency. A further improvement in coupling efficiency can be achieved by using an advanced silicon spot-size converter with precise control of the gap between the facet and the waveguide. This laser offers a prospective technique for realizing an on-chip light source for Si-based PICs.

3.1 Summary

Demonstrating high-performance trenched QD lasers shapes the faith in realizing the monolithic integration of III-V lasers for Si-based PICs as on-chip sources. It paves the way toward large-scale, high-density, low-cost PICs for the forthcoming bloom of quantum and sensing technologies.

Advertisement

4. Conclusions

Heteroepitaxial growth of III-V materials onto Si substrates offers an appealing approach for achieving practical Si-based on-chip light sources. Because of the large lattice mismatch between III-V materials and Si, the formation of crystal defects, including TDs, APBs, and micro-cracks, is inevitable during the epitaxy. Over the past decades, great advances in growth techniques have been made to control these defects to a reasonably low value, and state-of-the-art techniques are reviewed in this chapter. Hence, the performance of InAs QD laser grown on Si substrates progresses rapidly in terms of threshold current, maximum working temperature, and reliability. A step further is urgent to migrate these techniques into Si-based PICs, which are primed to support the growing market of automotive, sensing techniques, and quantum technologies. In order to integrate the InAs/GaAs QD light source on Si-based PICs, SAG growth of laser structure on a trenched substrate and butt-coupled to the embedded, prepatterned waveguide is regarded as a promising candidate for realizing on-chip light sources. Though only a few reports have demonstrated a demo for coupling light from trenched InAs QDs active region into the waveguide, the initial results are promising, which shapes the faith of achieving monolithic integration of III-V lasers as an on-chip light source. The realization of Si-based PICs will undoubtedly unleash the great potential of emerging technologies in the near future.

Advertisement

Acknowledgments

This work was supported by the UK Engineering and Physical Sciences Research Council (EP/P006973/1, EP/T028475/1, EP/X015300/1).

References

  1. 1. Soref R. The past, present, and future of silicon photonics. IEEE Journal of Selected Topics in Quantum Electronics. 2006;12(6):1678-1687
  2. 2. Jalali B, Fathpour S. Silicon photonics. Journal of Lightwave Technology. 2006;24(12):4600-4615
  3. 3. Tang M, Park J-S, Wang Z, Chen S, Jurczak P, Seeds A, et al. Integration of III-V lasers on Si for Si photonics. Progress in Quantum Electronics. 2019;66:1-18
  4. 4. Zhou Z, Ou X, Fang Y, Emad A, Xu R, Yating W, et al. Prospects and applications of on-chip lasers. eLight. 2023;3(1):1
  5. 5. Wang Z, Junesand C, Metaferia W, Hu C, Wosinski L, Lourdudoss S. III–vs on Si for photonic applications—A monolithic approach. Materials Science and Engineering: B. 2012;177(17):1551-1557
  6. 6. Kunert B, Guo W, Mols Y, Tian B, Wang Z, Shi Y, et al. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate. Applied Physics Letters. 2016;109(9):091101
  7. 7. Norman JC, Jung D, Wan Y, Bowers JE. Perspective: The future of quantum dot photonic integrated circuits. APL Photonics. 2018;3(3):030901
  8. 8. Norman JC, Jung D, Zhang Z, Wan Y, Liu S, Shang C, et al. A review of high-performance quantum dot lasers on silicon. IEEE Journal of Quantum Electronics. 2019;55(2):1-11
  9. 9. Roelkens G, Liu L, Liang D, Jones R, Fang A, Koch B, et al. III-V/silicon photonics for on-chip and intra-chip optical interconnects. Laser & Photonics Reviews. 2010;4(6):751-779
  10. 10. Liu H, Wang T, Jiang Q, Hogg R, Tutu F, Pozzi F, et al. Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate. Nature Photonics. 2011;5(7):416
  11. 11. Liang D, Bowers JE. Recent progress in lasers on silicon. Nature Photonics. 2010;4(8):511-517
  12. 12. Mi Z, Yang J, Bhattacharya P, Qin G, Ma Z. High-performance quantum dot lasers and integrated optoelectronics on Si. Proceedings of the IEEE. 2009;97(7):1239-1249
  13. 13. Lee A, Jiang Q, Tang M, Seeds A, Liu H. Continuous-wave InAs/GaAs quantum-dot laser diodes monolithically grown on Si substrate with low threshold current densities. Optics Express. 2012;20(20):22181-22187
  14. 14. Liu AY, Zhang C, Snyder A, Lubyshev D, Fastenau JM, Liu AWK, et al. MBE growth of P-doped 1.3 μm InAs quantum dot lasers on silicon. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 2014;32(2):02C108
  15. 15. Deng H, Yang J, Jia H, Tang M, Maglio B, Jarvis L, et al. Si-Based 1.3 μm InAs/GaAs QD Lasers. 2022 IEEE Photonics Conference (IPC), Vancouver. IEEE; 2022
  16. 16. Liao M, Chen S, Park J-S, Seeds A, Liu H. III–V quantum-dot lasers monolithically grown on silicon. Semiconductor Science and Technology. 2018;33(12):123002
  17. 17. Wang T, Liu H, Lee A, Pozzi F, Seeds A. 1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates. Optics Express. 2011;19(12):11381-11386
  18. 18. Tang M, Chen S, Wu J, Jiang Q, Dorogan VG, Benamara M, et al. 1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates using InAlAs/GaAs dislocation filter layers. Optics Express. 2014;22(10):11528-11535
  19. 19. Park H, Fang AW, Kodama S, Bowers JE. Hybrid silicon evanescent laser fabricated with a silicon waveguide and III-V offset quantum wells. Optics Express. 2005;13(23):9460-9464
  20. 20. Fang AW, Park H, Cohen O, Jones R, Paniccia MJ, Bowers JE. Electrically pumped hybrid AlGaInAs-silicon evanescent laser. Optics Express. 2006;14(20):9203-9210
  21. 21. Chang H-H, Fang AW, Sysak MN, Park H, Jones R, Cohen O, et al. 1310 nm silicon evanescent laser. Optics Express. 2007;15(18):11466-11471
  22. 22. Fang AW, Koch BR, Jones R, Lively E, Liang D, Kuo YH, et al. A distributed Bragg reflector silicon evanescent laser. IEEE Photonics Technology Letters. 2008;20(20):1667-1669
  23. 23. Liang D, Roelkens G, Baets R, Bowers J. Hybrid integrated platforms for silicon photonics. Materials. 2010;3(3):1782
  24. 24. Mickael M, Thierry B, Yann B, Huiwen D, Keshuang L, Mingchu T, et al. Ch. 8. GaAs compounds heteroepitaxy on silicon for Opto and nano electronic applications. In: Mohammed Muzibur R, Abdullah Mohammed A, Anish K, Inamuddin TT, editors. Post-Transition Metals. Rijeka: IntechOpen; 2020
  25. 25. Kunert B, Mols Y, Baryshniskova M, Waldron N, Schulze A, Langer R. How to control defect formation in monolithic III/V hetero-epitaxy on (100) Si? A critical review on current approaches. Semiconductor Science and Technology. 2018;33(9):093002
  26. 26. Park J-S, Tang M, Chen S, Liu H. Heteroepitaxial growth of III-V semiconductors on silicon. Crystals. 2020;10(12):1163
  27. 27. Alerhand O, Berker AN, Joannopoulos J, Vanderbilt D, Hamers R, Demuth J. Finite-temperature phase diagram of vicinal Si (100) surfaces. Physical Review Letters. 1990;64(20):2406
  28. 28. Pehlke E, Tersoff J. Phase diagram of vicinal Si(001) surfaces. Physical Review Letters. 1991;67(10):1290-1293
  29. 29. Poon TW, Yip S, Ho PS, Abraham FF. Equilibrium structures of Si (100) stepped surfaces. Physical Review Letters. 1990;65(17):2161
  30. 30. De Miguel J, Aumann C, Kariotis R, Lagally M. Evolution of vicinal Si (001) from double-to single-atomic-height steps with temperature. Physical Review Letters. 1991;67(20):2830
  31. 31. Chadi DJ. Stabilities of single-layer and bilayer steps on Si(001) surfaces. Physical Review Letters. 1987;59(15):1691-1694
  32. 32. Yang J. Monolithic Growth of InAs/GaAs Quantum Dot Lasers on Silicon Substrates by Molecular Beam Epitaxy [thesis]. England: University College London; 2021
  33. 33. Kroemer H. Polar-on-nonpolar epitaxy. Journal of Crystal Growth. 1987;81(1–4):193-204
  34. 34. Martin M, Caliste D, Cipro R, Alcotte R, Moeyaert J, David S, et al. Toward the III–V/Si co-integration by controlling the biatomic steps on hydrogenated Si(001). Applied Physics Letters. 2016;109(25):253103
  35. 35. Alcotte R, Martin M, Moeyaert J, Cipro R, David S, Bassani F, et al. Epitaxial growth of antiphase boundary free GaAs layer on 300 mm Si(001) substrate by metalorganic chemical vapour deposition with high mobility. APL Materials. 2016;4(4):046101
  36. 36. Rubel O, Baranovskii SD. Formation energies of antiphase boundaries in GaAs and GaP: An ab initio study. International Journal of Molecular Sciences. 2009;10(12):5104-5114
  37. 37. Beyer A, Volz K. Advanced electron microscopy for III/V on silicon integration. Advanced Materials Interfaces. 2019;6(12):1801951
  38. 38. Chen S, Liao M, Tang M, Wu J, Martin M, Baron T, et al. Electrically pumped continuous-wave 1.3 microm InAs/GaAs quantum dot lasers monolithically grown on on-axis Si (001) substrates. Optics Express. 2017;25(5):4632-4639
  39. 39. Bai J, Park J-S, Cheng Z, Curtin M, Adekore B, Carroll M, et al. Study of the defect elimination mechanisms in aspect ratio trapping Ge growth. Applied Physics Letters. 2007;90(10):101902
  40. 40. Yan Z, Han Y, Lin L, Xue Y, Ma C, Ng WK, et al. A monolithic InP/SOI platform for integrated photonics. Light: Science & Applications. 2021;10(1):200
  41. 41. Krost A, Schnabel R, Heinrichsdorff F, Rossow U, Bimberg D, Cerva H. Defect reduction in GaAs and InP grown on planar Si (111) and on patterned Si (001) substrates. Journal of Crystal Growth. 1994;145(1–4):314-320
  42. 42. Fang S, Adomi K, Iyer S, Morkoc H, Zabel H, Choi C, et al. Gallium arsenide and other compound semiconductors on silicon. Journal of Applied Physics. 1990;68(7):R31-R58
  43. 43. Beanland R, Dunstan D, Goodhew P. Plastic relaxation and relaxed buffer layers for semiconductor epitaxy. Advances in Physics. 1996;45(2):87-146
  44. 44. Li Q, Lau KM. Epitaxial growth of highly mismatched III-V materials on (001) silicon for electronics and optoelectronics. Progress in Crystal Growth and Characterization of Materials. 2017;63(4):105-120
  45. 45. Li Q, Ng KW, Lau KM. Growing antiphase-domain-free GaAs thin films out of highly ordered planar nanowire arrays on exact (001) silicon. Applied Physics Letters. 2015;106(7):072105
  46. 46. Wei W-Q, Wang J-H, Zhang B, Zhang J-Y, Wang H-L, Feng Q, et al. InAs QDs on (111)-faceted Si (001) hollow substrates with strong emission at 1300 nm and 1550 nm. Applied Physics Letters. 2018;113(5):053107
  47. 47. Kunert B, Nemeth I, Reinhard S, Volz K, Stolz W. Si (001) surface preparation for the antiphase domain free heteroepitaxial growth of GaP on Si substrate. Thin Solid Films. 2008;517(1):140-143
  48. 48. Beyer A, Németh I, Liebich S, Ohlmann J, Stolz W, Volz K. Influence of crystal polarity on crystal defects in GaP grown on exact Si (001). Journal of Applied Physics. 2011;109(8):083529
  49. 49. Kunert B, Volz K. Monolithic III/V integration on (001) Si substrate. In: Metalorganic Vapor Phase Epitaxy (MOVPE) Growth, Materials Properties, and Applications. Chichester: John Wiley & Sons; 2019. pp. 241-291
  50. 50. Jung D, Norman J, Kennedy MJ, Shang C, Shin B, Wan Y, et al. High efficiency low threshold current 1.3 μm InAs quantum dot lasers on on-axis (001) GaP/Si. Applied Physics Letters. 2017;111(12):122107
  51. 51. Shang C, Hughes E, Wan Y, Dumont M, Koscica R, Selvidge J, et al. High-temperature reliable quantum-dot lasers on Si with misfit and threading dislocation filters. Optica. 2021;8(5):749-754
  52. 52. Lv Z, Wang S, Wang S, Chai H, Meng L, Yang X, et al. Ultra-high thermal stability InAs/GaAs quantum dot lasers grown on on-axis Si (001) with a record-high continuous-wave operating temperature of 150°C. Optics Express. 2023;31(15):24173-24182
  53. 53. Jia H, Yang J, Tang M, Li W, Jurczak P, Yu X, et al. The epitaxial growth and unique morphology of InAs quantum dots embedded in a Ge matrix. Journal of Physics D: Applied Physics. 2022;55(49):494002
  54. 54. Chen S, Li W, Wu J, Jiang Q, Tang M, Shutts S, et al. Electrically pumped continuous-wave III–V quantum dot lasers on silicon. Nature Photonics. 2016;10(5):307-311
  55. 55. Kwoen J, Jang B, Lee J, Kageyama T, Watanabe K, Arakawa Y. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001). Optics Express. 2018;26(9):11568-11576
  56. 56. Kwoen J, Jang B, Watanabe K, Arakawa Y. High-temperature continuous-wave operation of directly grown InAs/GaAs quantum dot lasers on on-axis Si (001). Optics Express. 2019;27(3):2681-2688
  57. 57. Li K, Yang J, Lu Y, Tang M, Jurczak P, Liu Z, et al. Inversion boundary annihilation in GaAs monolithically grown on on-axis silicon (001). Advanced Optical Materials. 2020;8(22):2000970
  58. 58. Yang J, Li K, Jia H, Deng H, Yu X, Jurczak P, et al. Low threading dislocation density and antiphase boundary free GaAs epitaxially grown on on-axis Si (001) substrates. Nanoscale. 2022;14(46):17247-17253
  59. 59. Kwoen J, Lee J, Watanabe K, Arakawa Y. Elimination of anti-phase boundaries in a GaAs layer directly-grown on an on-axis Si(001) substrate by optimizing an AlGaAs nucleation layer. Japanese Journal of Applied Physics. 2019;58(SB):SBBE07
  60. 60. Guo W, Bondi A, Cornet C, Létoublon A, Durand O, Rohel T, et al. Thermodynamic evolution of antiphase boundaries in GaP/Si epilayers evidenced by advanced X-ray scattering. Applied Surface Science. 2012;258(7):2808-2815
  61. 61. Gilbert A, Ramonda M, Cerutti L, Cornet C, Patriarche G, Tournié É, et al. Epitaxial growth of III-vs on on-axis Si: breaking the symmetry for antiphase domains control and burying. Advanced Optical Materials. 2023;11(15):2203050
  62. 62. Tersoff J, Pehlke E. Sinuous step instability on the Si (001) surface. Physical Review Letters. 1992;68(6):816
  63. 63. Tromp R, Reuter M. Wavy steps on Si (001). Physical Review Letters. 1992;68(6):820
  64. 64. Sakamoto T, Kawai NJ, Nakagawa T, Ohta K, Kojima T. Intensity oscillations of reflection high-energy electron diffraction during silicon molecular beam epitaxial growth. Applied Physics Letters. 1985;47(6):617-619
  65. 65. Sakamoto T, Kawamura T, Hashiguchi G. Observation of alternating reconstructions of silicon (001) 2×1 and 1×2 using reflection high-energy electron diffraction during molecular beam epitaxy. Applied Physics Letters. 1986;48(23):1612-1614
  66. 66. Volz K, Beyer A, Witte W, Ohlmann J, Németh I, Kunert B, et al. GaP-nucleation on exact Si (001) substrates for III/V device integration. Journal of Crystal Growth. 2011;315(1):37-47
  67. 67. Horikoshi Y, Kawashima M, Yamaguchi H. Migration-enhanced epitaxy of GaAs and AlGaAs. Japanese Journal of Applied Physics. 1988;27(2R):169
  68. 68. Ohta K, Kojima T, Nakagawa T. Anisotropic surface migration of Ga atoms on GaAs (001). Journal of Crystal Growth. 1989;95(1–4):71-74
  69. 69. Groenert ME, Leitz CW, Pitera AJ, Yang V, Lee H, Ram RJ, et al. Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers. Journal of Applied Physics. 2003;93(1):362-367
  70. 70. Lee AD, Jiang Q, Tang M, Zhang Y, Seeds AJ, Liu H. InAs/GaAs quantum-dot lasers monolithically grown on Si, Ge, and Ge-on-Si substrates. IEEE Journal of Selected Topics in Quantum Electronics. 2013;19:4, 1901107
  71. 71. Shang C, Selvidge J, Hughes E, Norman JC, Taylor AA, Gossard AC, et al. A pathway to thin GaAs virtual substrate on on-axis Si (001) with ultralow threading dislocation density. Physica Status Solidi (a). 2021;218(3):2000402
  72. 72. Tang M, Chen S, Wu J, Jiang Q, Kennedy K, Jurczak P, et al. Optimizations of defect filter layers for 1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates. IEEE Journal of Selected Topics in Quantum Electronics. 2016;22(6):50-56
  73. 73. Selvidge J, Norman J, Hughes ET, Shang C, Jung D, Taylor AA, et al. Defect filtering for thermal expansion induced dislocations in III–V lasers on silicon. Applied Physics Letters. 2020;117(12):122101
  74. 74. Wei W, Huang J, Ji Z, Han D, Yang B, Chen J, et al. Reliable InAs quantum dot lasers grown on patterned Si (001) substrate with embedded hollow structures assisted thermal stress relaxation. Journal of Physics D: Applied Physics. 2022;55(40):405105
  75. 75. Wan Y, Shang C, Norman J, Shi B, Li Q, Collins N, et al. Low threshold quantum dot lasers directly grown on unpatterned quasi-nominal (001) Si. IEEE Journal of Selected Topics in Quantum Electronics. 2020;26(2):1-9
  76. 76. Wan Y, Norman JC, Tong Y, Kennedy M, He W, Selvidge J, et al. 1.3 μm quantum dot-distributed feedback lasers directly grown on (001) Si. Laser & Photonics Reviews. 2020;14(7):2000037
  77. 77. Liu Z, Hantschmann C, Tang M, Lu Y, Park J-s, Liao M, et al. Origin of defect tolerance in InAs/GaAs quantum dot lasers grown on silicon. Journal of Lightwave Technology. 2019;38:240-248
  78. 78. Jung D, Zhang Z, Norman J, Herrick R, Kennedy M, Patel P, et al. Highly reliable low-threshold InAs quantum dot lasers on on-axis (001) Si with 87% injection efficiency. ACS Photonics. 2018;5(3):1094-1100
  79. 79. Shang C, Begley MR, Gianola DS, Bowers JE. Crack propagation in low dislocation density quantum dot lasers epitaxially grown on Si. APL Materials. 2022;10(1):011114
  80. 80. Ackaert A, Buydens L, Lootens D, Van Daele P, Demeester P. Crack formation and thermal stress relaxation of GaAs on Si growth by metalorganic vapor phase epitaxy. Applied Physics Letters. 1989;55(21):2187-2189
  81. 81. Yang VK, Groenert M, Leitz CW, Pitera AJ, Currie MT, Fitzgerald EA. Crack formation in GaAs heteroepitaxial films on Si and SiGe virtual substrates. Journal of Applied Physics. 2003;93(7):3859-3865
  82. 82. Yang J, Liu Z, Jurczak P, Tang M, Li K, Pan S, et al. All-MBE grown InAs/GaAs quantum dot lasers with thin Ge buffer layer on Si substrates. Journal of Physics D: Applied Physics. 2020;54(3):035103
  83. 83. Dutta PS. Bulk crystal growth of ternary III–V semiconductors. In: Springer Handbook of Crystal Growth. Berlin Heidelberg: Springer; 2010. pp. 281-325
  84. 84. Huang H, Ren X, Lv J, Wang Q, Song H, Cai S, et al. Crack-free GaAs epitaxy on Si by using midpatterned growth: Application to Si-based wavelength-selective photodetector. Journal of Applied Physics. 2008;104(11):113114
  85. 85. Hutchinson J, Suo ZG. In: Hutchinson JW, Wu TY, editors. Advances in Applied Mechanics. Vol. 29. San Diego: Academic Press; 1992
  86. 86. Zhao M-H, Fu R, Zhang T-Y. Multiple cracks of a thin film on a ductile substrate. MRS Online Proceedings Library. 2001;695:1-6
  87. 87. Yang J, Jurczak P, Cui F, Li K, Tang M, Billiald L, et al. Thin Ge buffer layer on silicon for integration of III-V on silicon. Journal of Crystal Growth. 2019;514:109-113
  88. 88. Chen Z, Segev M. Highlighting photonics: Looking into the next decade. eLight. 2021;1(1):2
  89. 89. Li N, Chen G, Ng DK, Lim LW, Xue J, Ho CP, et al. Integrated lasers on silicon at communication wavelength: A progress review. Advanced Optical Materials. 2022;10:2201008
  90. 90. Yang J, Tang M, Chen S, Liu H. From past to future: On-chip laser sources for photonic integrated circuits. Light: Science & Applications. 2023;12(1):16
  91. 91. Reed GT, Mashanovich G, Gardes FY, Thomson D. Silicon optical modulators. Nature Photonics. 2010;4(8):518
  92. 92. Michel J, Liu J, Kimerling LC. High-performance Ge-on-Si photodetectors. Nature Photonics. 2010;4(8):527-534
  93. 93. Leuthold J, Koos C, Freude W. Nonlinear silicon photonics. Nature Photonics. 2010;4(8):535
  94. 94. Park J-S, Tang M, Chen S, Liu H. Monolithic III–V quantum dot lasers on silicon. Frontiers of Nanoscience. 2021;20:353-388
  95. 95. Cao V, Park J-S, Tang M, Zhou T, Seeds A, Chen S, et al. Recent progress of quantum dot lasers monolithically integrated on Si platform. Frontiers in Physics. 2022;10:839953
  96. 96. Wang Y, Chen S, Yu Y, Zhou L, Liu L, Yang C, et al. Monolithic quantum-dot distributed feedback laser array on silicon. Optica. 2018;5(5):528-533
  97. 97. Xiang C, Liu J, Guo J, Chang L, Wang RN, Weng W, et al. Laser soliton microcombs heterogeneously integrated on silicon. Science. 2021;373(6550):99-103
  98. 98. Zhou T, Tang M, Xiang G, Xiang B, Hark S, Martin M, et al. Continuous-wave quantum dot photonic crystal lasers grown on on-axis Si (001). Nature Communications. 2020;11(1):977
  99. 99. Zhou T, Ma J, Tang M, Li H, Martin M, Baron T, et al. Monolithically integrated ultralow threshold topological corner state nanolasers on silicon. ACS Photonics. 2022;9(12):3824-3830
  100. 100. Shang C, Feng K, Hughes ET, Clark A, Debnath M, Koscica R, et al. Electrically pumped quantum-dot lasers grown on 300 mm patterned Si photonic wafers. Light: Science & Applications. 2022;11(1):299
  101. 101. Wei W-Q, He A, Yang B, Wang Z-H, Huang J-Z, Han D, et al. Monolithic integration of embedded III-V lasers on SOI. Light: Science & Applications. 2023;12(1):84

Written By

Junjie Yang, Huiwen Deng, Jae-Seong Park, Siming Chen, Mingchu Tang and Huiyun Liu

Submitted: 07 July 2023 Reviewed: 16 August 2023 Published: 06 December 2023