Low-
Abstract
Low dielectric constant (low-k) materials as an interconnecting insulator in integrated circuits are essential for resistance-capacitance (RC) time delay reduction. Plasma technology is widely used for the fabrication of the interconnects, such as dielectric etching, resisting ashing or stripping, barrier metal deposition, and surface treatment. During these processes, low-k dielectric materials may be exposed to the plasma environments. The generated reactive species from the plasma react with the low-k dielectric materials. The reaction involves physical and chemical effects, causing degradations for low-k dielectric materials. This is called “plasma damage” on low-k dielectric materials. Therefore, this chapter is an attempt to provide an overview of plasma damage on the low-k dielectric materials.
Keywords
- plasma
- low dielectric constant
- porosity
- plasma damage
- Cu interconnects
- back end of line
- damascene
1. Introduction
To improve the performance of integrated circuits (ICs), the device dimensions are continuous scaling down. However, as the technology node of ICs is advanced to 0.25 μm, the interconnect-induced delay outpaces the gate delay, becoming the main obstacles for the downscaling [1, 2, 3]. This interconnect-induced delay is so-called resistance-capacitance (
In order to slow down the increase of
Additionally, the integration method for Cu/low-
In this connection, this chapter is an attempt to provide an overview of plasma damage on the low-
2. Low-k materials and plasma
2.1. Low-k materials
The dielectric constant of materials can be typically described by Clausius-Mossotti Equation [16]:
where
Low-
The silica-based low-
The first-generation low-
Next, the second-generation low-
The limitation of
The
2.2. Plasma
In a vacuum system, plasma can be produced by introducing the process gas and applying the power. The process gas can be underwent ionization, excitation/relaxation, and dissociation under the power. Therefore, energetic ions, electrons, light (from deep vacuum ultraviolet (VUV) to infrared (IR)), and highly reactive radicals are produced in the plasma [29, 30]. In semiconductor processing, plasma technology can be used for ion implantation, etching, and deposition. The ion implantation processing is achieved by the energetic ions. The etching processing involves both physical and chemical reactions, which are related to the energetic ions and the highly reactive radicals, respectively. The deposition processing only relies on the highly reactive radicals for chemical reaction.
To produce the plasma, three main reactors are used: capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and remote or downstream plasma (RP or DSP) [31]. The energy transfers are through capacitive coupling by parallel electrodes, inductive coupling by a coil, and microwaves for CCP, ICP, and RP systems, respectively. In the CCP and ICP systems, light from VUV to IR, energetic ions, electrons, and highly reactive radicals are presented. In the RP reactors, however, the plasma generation region is usually separated from the processing region. Additionally, a grid between the plasma and the substrate is used for charge neutralization, and a special measure is designed to minimize the photon flux. As a result, only reactive radicals or dissociated molecules or atoms can reach the surface of the wafer. This minimizes the damage from light and/or high-energy species. Due to the absence of ions, the RP reactors cannot provide patterning etching.
In the ICP systems, there are two applied RF power: one is source power (top power), and the other is bias power (bottom power). Therefore, plasma density and ion energy can be controlled separately. Additionally, the ICP system has the highest plasma density with 1011–1012 electrons/cm3 [31]. The plasma density of CCP system is 109–1010 electrons/cm3. The RP system has the lowest plasma density. Due to anisotropic etching property provided by ion bombardment, ICP and CCP systems are usually used for pattern etching. Since dielectric films are very sensitive to ion bombardment and ICP reactors lack passivating species required by typical dielectric etching, CCP reactors are mostly used for dielectric patterning etching. On the other hand, ICP reactors are often used for conductor patterning etching due to the etching rate consideration. To avoid damage by ion bombardment and UV light irradiation or no need anisotropic etching in the plasma process, RP reactors are the best choice. So, cleaning and resist stripping processes during semiconductor fabrication can be done by RP reactors.
2.3. Plasma damage mechanism
The plasma-induced damage on the low-
The plasma damage on low-
The depletion of carbon is mainly caused by active radicals through chemical reactions. Due to the loss of hydrophobic CH3 groups, the surface of low-
3. Low-k plasma damage during interconnects fabrication
As Al/SiO2 interconnects had been transferred to Cu/low-
The induced plasma damage on low-
After processing of Metal-1 (M-1), the etching stop layer (Cu barrier dielectric layer) is firstly deposited by PECVD method. The used material can be SiN, SiC, or SiCN. Before deposition, NH3 or H2 plasma clean is performed to remove copper oxide (CuOx) for adhesion improvement [36, 37]. Both these two steps would damage the underlying low-
In the Via-1 and Metal-2 trench patterning, the etching process induces plasma damage not only on the horizontal surfaces but also on the vertical surfaces (sidewall).
The damaged layer on the horizontal surfaces can be removed as the etching proceeds. Therefore, the resulted damage on the low-
Then, metallization process is preceded in the dual-damascene structure. Cu barrier layer, Cu seed layer, and bulk Cu layer are subsequently deposited. Finally, Cu chemical-mechanical polishing (CMP) process is used to remove the excess metal over the field regions. Thus, a layer of Cu dual-damascene structure (via and trench) is finished. In these steps, Cu barrier layer and seed layer are performed by PVD sputtering with using plasma. The former step would cause damage on the low-
After completing the Cu metallization fabrication, the above steps are repeated for each metal level. After the last metal layer is fabricated, thick dielectric passivation layer (e.g., SiO2/SiN bilayer) is deposited, and via is opened to the bond pads.
4. Low-k plasma damage
4.1. Plasma damage characterization
To characterize the plasma damage on the low-
X-ray reflectivity (XRR) is another method to determine the density, thickness, and roughness of both pristine and damaged low-
“HF decoration” method [44] can be used to detect the modification layer induced by plasma. This method is based on the fact that a pristine low-
A given system of solid and liquid (or vapor) at a given temperature and pressure has a unique equilibrium contact angle. The measured angle is water contact angle (WCA). It can be used to quantify the wettability of a solid surface by a liquid via the Young equation. If the used liquid molecules are strongly attracted to the solid molecules, the liquid drop then will completely spread out on the solid surface, corresponding to a WCA of 0°. This case can be occurred at bare metallic or ceramic surfaces for water liquid. As an oxide layer or contaminant is on the solid surface, WCA value significantly increases. Generally, the solid surface tends to be hydrophilic if WCA value is smaller than 90°, while if WCA value is larger than 90°, the solid surface is considered to be hydrophobic. For low-
Fourier transform infrared (FT-IR) spectroscopy is a common technique to characterize the structure of SiCOH low-
The Si–O–Si bridging in the 900–1250 cm−1 can be deconvoluted into three peaks centered at 1129, 1063, and 1023 cm−1, corresponding to the Si–O–Si cage-like structure with a bond angle of approximately 150°, Si–O–Si network with a bond angle of 140°, and Si–O–Si suboxide structure with a bond angle of less than 140°, respectively. Other contributions from C–O–C and Si–O–Si asymmetric stretching will also be overlapped with the Si–O–Si asymmetric stretching in the broadband at 1000–1200 cm−1 [45]. The intensity of Si–O–Si bonds slightly increases, and this peak shifts to a higher wavelength after O2 plasma treatment.
X-ray photoelectron spectroscopy (XPS) is a surface-sensitive spectroscopic technique to quantitatively measure a material’s elemental composition. XPS can also be operated in a “depth-profiling mode” to analyze the elemental composition throughout the film by using ion etching/sputtering technology. For SiCOH low-
In the pristine SiCOH low-
The dielectric constant (
4.2. Plasma damage on the electrical characterization and reliability
In this section, the results of plasma damage on the low-
4.2.1. O2 plasma damage
4.2.1.1. Plasma process dependence
Figure 7 shows the variation in the
Figure 8(a) compares the leakage current density and the stress electric field for the pristine and O2 plasma-treated low-
Figure 8(b) compares the leakage current densities at 1 MV/cm and 2 MV/cm and the breakdown electric field of the O2 plasma-treated low-
Figure 9 compares the characteristic dielectric breakdown times (T63.2%) for O2 plasma-treated low-
4.2.1.2. Low-k dielectric dependence
Four kinds of low-
Figure 10 compares the change percentage of the
Figure 11 compares the degradation in the characteristic dielectric breakdown times relative to those of the pristine low-
4.2.1.3. Plasma component dependence
A “roof” structure, consisting of a top optical mask, is designed to isolate the ions, photons, and radicals to reach the porous low-
The plasma-induced damage mechanism is that the bonds inside the porous low-
Figure 12 plots the characteristic dielectric breakdown times (T63.2%) versus the applied electric field for O2 plasma-treated low-
4.2.2. Hydrogen (H2)/helium (He) plasma damage
H2/He plasma treatments on the porous low-
Figure 14(a) and (b) shows the breakdown field and the dielectric breakdown time, respectively, of porous low-
The mechanism about the reaction between H2 reactive plasma species and porous low-
where ΔHr is the estimated enthalpy. The reaction temperature of these two reactions is assumed to be 25°C. The negative enthalpies of reactions (2) and (3) represent that the reactions are exothermic and presumably occurred at room temperature [57]. Assuming that the amount of H atoms remains unchanged at an elevated temperature, these two reactions would become less favored with an increase of the reaction temperature according to Chatelier’s principle. Therefore, the scission of Si–CH3 and Si–O–Si groups should be stronger at a lower temperature. However, FT-IR analysis revealed that the losses of CH3 and Si–O–Si groups were higher for porous low-
In addition to the above reactions (2) and (3), H2 plasma can break Si–CH3 and Si–O–Si bonds to create Si dangling bonds. The subsequent air exposure makes these Si dangling bonds transform to Si–OH bonds. If OH- bonds are weak or physically bonded, dehydroxylation of Si–OH bonds can occur to form Si–O–Si bonds at a higher temperature [58]. This can be explained by the reduction of Si–OH bonds for H2/He plasma-treated low-
4.2.3. Ammonia (NH3)/nitrogen (N2) plasma damage
The effect of the NH3/N2 ratio in plasma treatment on the porous low-
Figure 15 shows the changes in the
Figure 16(a) plots the measured dielectric breakdown fields of NH3/N2 plasma-treated low-
Figure 16(b) compares T63.2% values as a function of applied electric field for the pristine and plasma-treated low-
5. Conclusions
Low-
References
- 1.
Thompson SE, Parthasarathy S. Moore’s law: The future of Si microelectronics. Materials Today. 2006; 9 :20-25 - 2.
Bohr M. MOS transistors: Scaling and performance trends. Semiconductor International. 1995; 18 (6):75-80 - 3.
Isaac RD. The future of CMOS technology. IBM Journal of Research and Development. 2000; 44 :369-378 - 4.
Dixit GA, Havemann RH. Overview of Interconnect—Copper and Low- k Integration, Handbook of Semiconductor Manufacturing Technology. 2nd ed. NY: CRC Press; 2008 (Chapter 2) - 5.
Havemann RH, Hutchby JA. High-performance interconnects: An integration overview. Proceedings of the IEEE. 2001; 89 :586-601 - 6.
Plummer JD, Deal MD, Griffin PB. Silicon VLSI Technology. Upper Saddle River, NJ: Prentice Hall; 2000 - 7.
Jeffery G. Process Technology for Copper Interconnects, Handbook of Thin Film Deposition. 3rd Edition, William Andrew; 2012. pp. 221-269 - 8.
Grill A, Gates SM, Ryan TE, Nguyen SV, Priyadarshini D. Progress in the development and understanding of advanced low k and ultralowk dielectrics for very large-scale integrated interconnects – State of the art. Applied Physics Reviews. 2014;1 :011306-011312 - 9.
Grill A. Porous pSiCOH ultralow -k dielectrics for chip interconnects prepared by PECVD. Annual Review of Materials Research. 2009;39 :49-69 - 10.
Grill A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low -k to extreme low-k interconnect materials. Journal of Applied Physics. 2003;93 :1785-1790 - 11.
Kriz J, Angelkort C, Czekalla M, Huth S, Meinhold D, Pohl A, et al. Overview of dual damascene integration schemes in Cu BEOL integration. Microelectronic Engineering. 2008; 85 :2128-2132 - 12.
Zhang L, Ljazouli R, Lefaucheux P, Tillocher T, Dussart R, Mankelevich YA, de Marneffe J-F, de Gendt S, Baklanov MR. Low damage cryogenic etching of porous organosilicate low -k materials using SF6/O2/SiF4. ECS Journal of Solid State Science and Technology. 2013;2 (6):N131-N139 - 13.
Baklanov MR, de Marneffe J-F, Shamiryan D, Urbanowicz AM, Shi H, Rakhimova TV, Huang H, Ho PS. Plasma processing of low -k dielectrics. Journal of Applied Physics. 2013;113 :041101-1-041101-35 - 14.
Kazi H, Kelber JA. Plasma damage mechanisms in low k organosilicate glass and their inhibition by Ar ion bombardment. Journal of Vacuum Science and Technology B. 2010;32 (2):021302-1-021302-7 - 15.
Kunnen E, Barkema GT, Maes C, Shamiryan D, Urbanowicz A, Struyf H, Baklanov MR. Integrated diffusion–recombination model for describing the logarithmic time dependence of plasma damage in porous low -k materials. Microelectronic Engineering. 2011;88 :631-634 - 16.
Maex K, Baklanov MR, Shamiryan D, Iacopi F, Brongersma SH, Yanovitskaya ZS. Low dielectric constant materials for microelectronics. Journal of Applied Physics. 2003; 93 :8793-8841 - 17.
Kim CY, Navamathavan R, Lee HS, Woo JK, Hyun MT, Lee KM, Jeung WY, Choi CK. Ultraviolet irradiation effect on the properties of leakage current and dielectric break-down of low-dielectric-constant SiOC(H) films using comb capacitor structure. Thin Solid Films. 2011; 519 :6732-6736 - 18.
Broussous L, Berthout G, Rebiscoul D, Rouessac V, Ayral A. Mechanical properties of a plasma-modified porous low -k material. Microelectronic Engineering. 2010;87 :466-469 - 19.
Cheng YL, Wang YL, Lan JK, Chen HC, Lin JH, Wu YL, Liu PT, Wu YC, Feng MS. Effect of carrier gas on the structure and electrical properties of low dielectric constant SiCOH film using trimethylsilane prepared by plasma enhanced chemical vapor deposition. Thin Solid Films. 2004; 469 :178-183 - 20.
Chang YM, Chang WY, Leu J, Cheng YL. Effect of thermal treatment on physical, electrical properties and reliability of porogen-containing and porogen-free ultralow -k dielectrics. Thin Solid Films. 2013;528 :67-71 - 21.
Cheng YL, Wang YL, Liu CW, Wu YL, Lo KY, Liu CP, Lan JK. Characterization and reliability of low dielectric constant fluorosilicate glass and silicon rich oxide process for deep sub-micro device application. Thin Solid Films. 2001; 398-399 :544-548 - 22.
Shapiro MJ, Nguyen SV, Matsuda T, Dobuzinsky D. CVD of fluorosilicate glass for ULSI applications. Thin Solid Films. 1995; 270 :503-507 - 23.
Lubguban Jr J, Rajagopalan T, Mehta N, Lahlouh B, Simon SL, Gangopadhyaya S. Low -k organosilicate films prepared by tetravinyltetramethylcyclotetrasiloxane. Journal of Applied Physics. 2002;92 :1033-1038 - 24.
Gates SM, Neumayer DA, Sherwood MH, Grill A, Wang X, Sankarapandian M. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition. Journal of Applied Physics. 2007; 101 :094103-1-094103-8 - 25.
Chapelon LL, Arnal V, Broekaart M, Gosset LG, Vitiello J, Torres J. Porous ultra low k deposited by PECVD: From deposition to material properties. Microelectronic Engi-neering. 2004;76 :1-4 - 26.
Ming Z, Beichao Z. Preparation of porous ultra low k films using different sacrificial porogen precursors for 28 nM technological node. Materials Science in Semiconductor Processing. 2015;36 :170-178 - 27.
Dultsev FN, Nekrasov DV. Transformation of porous structure under vacuum ultraviolet irradiation of the films based on silicon dioxide. Thin Solid Films. 2016; 603 :249-254 - 28.
Vanstreels K, Ciofi I, Barbarin Y, Baklanov M. Influence of porosity on dielectric breakdown of ultralow -k dielectrics. Journal of Vacuum Science and Technology B. 2013;31 :050604-1-050604-5 - 29.
Amanatides E, Mataras D. Frequency variation under constant power conditions in hydrogen radio frequency discharges. Journal of Applied Physics. 2001; 89 :1556-1566 - 30.
Perret A, Chabert P, Jolly J, Booth J-P. Ion energy uniformity in high-frequency capacitive discharges. Applied Physics Letters. 2005; 86 :021501-1-021501-3 - 31.
Rakhimova TV, Braginsky OV, Ivanov VV, Kim TK, Kong JT, Kovalev AS, Lopaev DV, Mankelevich YA, Proshina OV, Vasilieva AN. Experimental and theoretical study of rf plasma at low and high frequency. IEEE Transactions on Plasma Science. 2006; 34 :867-877 - 32.
Smirnov E, Ferchichi AK, Huffman C, Baklanov MR. Impact of plasma exposure on organic low -k materials. Proceedings of SPIE. 2010;75217 :52107-1-52107-8 - 33.
Pantouvaki M, Huffman C, Zhao L, Heylen N, Ono Y, Nakajima M, Nakatani K, Beyer GP, Baklanov MR. Advanced organic polymer for the aggressive scaling of low -k materials. Japanese Journal of Applied Physics. 2011;50 :04DB01-1-04DB01-5 - 34.
Havemann RH, Antonelli GA, Arendt GK, Danek M, McKerrow AJ, Weinberg RS. Copper BEOL Solutions for Advanced Memory, Solid-State Technol. Vol. 312009. pp. 10-13 - 35.
Takei S. Resist poisoning studies of gap fill materials for patterning metal trenches in via-first dual damascene process. Japanese Journal of Applied Physics. 2008; 47 :8766-8770 - 36.
Noguchi J, Ohashi N, Jimbo T, Yamaguchi H, Takeda K, Hinode K. Effect of NH3-plasma treatment and CMP modification on TDDB improvement in Cu metallization. IEEE Transactions on Electron Devices. 2001; 48 :1340-1345 - 37.
Lin MH, Lin YL, Chen JM, Yeh M-S, Chang KP, Su KC, Wang T. Electromigration lifetime improvement of copper interconnect by cap/dielectric interface treatment and geometrical design. IEEE Transactions on Electron Devices. 2005; 52 (12):2602-2608 - 38.
Baklanov MR, Vanhaelemeersch S, Bender H, Maex K. Effects of oxygen and fluorine on the dry etch characteristics of organic low -k dielectrics. Journal of Vacuum Science and Technology B. 1999;17 :372-379 - 39.
Cheng YL, Lin BH, Huang SW. Effect of O2 plasma treatment on physical, electrical, and reliability characteristics of low dielectric constant materials. Thin Solid Films. 2014; 572 :44-50 - 40.
Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Effect of plasma interactions with low -k films as a function of porosity, plasma, chemistry, and temperature. Journal of Vacuum Science and Technology B. 2005;23 (2):395-405 - 41.
Han QY, White B, Berry IL, Waldfried C, Escorcia O. Activated he:H2 strip of photoresist over porous low -k materials. Solid State Phenomena. 2005;103-104 :341-345 - 42.
Lionti K, Volksen W, Magbitang T, Darnon M, Dubois G. Toward successful integration of porous low -k materials: Strategies addressing plasma damage. ECS Journal of Solid State Science and Technology. 2015;4 (1):N3071-N3083 - 43.
Urbanowicz AM, Baklanov MR, Heijlen J, Travaly Y, Cockburn A. Damage reduction and sealing of low -k films by combined he and NH3 plasma treatment. ECS Journal of Solid State Science and Technology. 2007;10 (10):G76-G79 - 44.
Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Journal of Vacuum Science & Technology. 2005; B23 :395-405 - 45.
Grill A, Neumayer DA. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. Journal of Applied Physics. 2003; 94 (10):6697-6707 - 46.
Jousseaume V, Zenasni A, Gourhant O, Favennec L, Baklanov MR. In: Baklanov MR, Ho P, Zschech E, editors. Ultra-low -k by CVD: Deposition and Curing. Advanced Inter-connects for ULSI Technology. Wiley; 2012. pp. 35-77. (Chapter 2) - 47.
Urbanowicz AM, Shamiryan D, Zaka A, Verdonck P, De Gendt S, Baklanov MR. Effects of He plasma pretreatment on low -k damage during Cu surface cleaning with NH3 plasma. Journal of the Electrochemical Society. 2010;157 (5):H565-H573 - 48.
Cheng YL, Huang JF, Chang YM, Leu J. Impact of plasma treatment on structure and electrical properties of porous low dielectric constant SiCOH material. Thin Solid Films. 2013; 544 :537-540 - 49.
Cheng YL, Tsai YS, Hung WJ, Sun CR, Lee WH. Effect of H2/He plasma on porous low dielectric constant materials. Surface and Coatings Technology. 2016; 38 :182-188 - 50.
Huang JF, Bo TC, Chang WY, Chang YM, Leu J, Cheng YL. Effect of NH3/N2 ratio in plasma treatment on porous low dielectric constant SiCOH materials. Journal of Vacuum Science and Technology A. 2014; 32 (3):031505-031510 - 51.
Michelon J, Hoofman RJOM. Moisture influence on porous low -k reliability. IEEE Transactions on Device and Materials Reliability. 2006;6 :169-174 - 52.
McPherson JW. Time dependent dielectric breakdown physics–models revisited. Microelectronics and Reliability. 2012; 52 :1753-1760 - 53.
Kemeling N, Matsushita K, Tsuji N, Kagami KI, Kato M, Kaneko S, Sprey H, Roest DD, Kobayashi N. A robust k ~2.3 SiCOH low-k film formed by porogen removal with UV-cure. Microelectronic Engineering. 2007;84 :2575-2581 - 54.
Shi H, Huang H, Bao J, Liu J, Ho PS, Zhou Y, Pender JT, Armacost MD, Kyser D. Role of ions, photons, and radicals in inducing plasma damage to ultra low -k dielectrics. Journal of Vacuum Science and Technology B. 2012;30 :011206-1-011206-1, 011206-9 - 55.
Urbanowicz AM, Vanstreels K, Shamiryan D, De Gendt S, Baklanov MR. Effect of porogen residue on chemical, optical, and mechanical properties of CVD SiCOH low -k materials. Electrochemical and Solid-State Letters. 2009;12 :H292-H295 - 56.
Nichols MT, Sinha H, Wiltbank CA, Antonelli GA, Nishi Y, Shohet JL. Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass. Applied Physics Letters. 2012; 100 :112905-1-112905-4 - 57.
Dubois C, Sylvestre A, Chaabouni H, Farcy A. Impact of the CMP process on the electrical properties of ultra low k porous SiOCH. Microelectronic Engineering. 2010;87 :333-336 - 58.
Cheng YL, Leon KW, Huang JF, Chang WY, Chang YM, Leu J. Effect of moisture on electrical and reliability properties for low dielectric constant materials. Microelectronic Engineering. 2014; 114 :12-16 - 59.
Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. Journal of Vacuum Science and Technology B. 2005; 23 :395-405 - 60.
Liu X, Gill S, Tang F, King SW, Nemanich RJ. Remote H2/N2 plasma processes for simultaneous preparation of low -k interlayer dielectric and interconnect copper surfaces. Journal of Vacuum Science and Technology B. 2012;30 :031212-1-031212-9 - 61.
Posseme N, Chevolleau T, David T, Darnon M, Louveau O, Joubert O. Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas. Journal of Vacuum Science and Technology B. 2007; 25 :1928-1940 - 62.
Yamamoto H, Takeda K, Ishikawa K, Ito M, Sekine M, Hori M, Kaminatsui T, Hayashi H, Sakai I, Ohiwa T. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics. Journal of Applied Physics. 2011; 11 :123301 - 63.
Chen W, Han Q, Most R, Waldfried C, Escorcia O, Berry I. Plasma impacts to an O-SiC low -k barrier film. Journal of the Electrochemical Society. 2004;151 :F182-F188