Open access peer-reviewed chapter

Boron Doping in Next-Generation Materials for Semiconductor Device

Written By

Linh Chi T. Cao, Luqman Hakim and Shu-Han Hsu

Submitted: 29 June 2022 Reviewed: 11 July 2022 Published: 12 August 2022

DOI: 10.5772/intechopen.106450

From the Edited Volume

Characteristics and Applications of Boron

Edited by Chatchawal Wongchoosuk

Chapter metrics overview

709 Chapter Downloads

View Full Metrics

Abstract

The article surveys the most recent achievements starting with the boron doping mechanism, mainly focused on doping in semiconductor materials such as Si, Ge, graphene, carbon nanotube, or other 2D materials. Frequently used doping methodologies are discussed, including ion implantation and solid-phase doping, mainly focused on recent developing techniques of monolayer doping. These doped materials’ structural, electronic, and chemical properties are addressed to understand the boron doping effect better. Theoretical and experimental information and data are used to support such atomic-level effects. Therefore, this review can provide valuable suggestions and guidelines for materials’ properties manipulation by boron doping for further research exploration.

Keywords

  • boron doping
  • monolayer doping
  • ion implantation
  • CVD
  • semiconductors

1. Introduction

In semiconductor technology, doping is a process that introduces delicately controlled amounts of impurities (called dopants) into an intrinsic semiconductor to modify its electrical, optical, and structural properties significantly. The intrinsic semiconductors are pure semiconductors without impurities (typical semiconductors of group IV in the periodic table: Si and Ge), in which the number of excited electrons equals the number of holes. In the doping process, a dopant is added, which could play a role as either a donor to contribute an electron or an acceptor to create a hole with the semiconductor crystal that respectively generates two types of semiconductors: n-type and p-type. The dopants belonging to group III, such as boron (B), aluminum (Al), gallium (Ga), and indium (In), are referred to as acceptors for p-type semiconductors. Moreover, group V elements, including phosphorus (P), arsenic (As), antimony (Sb), bismuth (Bi), and lithium (Li), are donors to contribute free electrons in n-type semiconductors. Boron is a p-type dopant with only three electrons in its valence shell. During the boron incorporation process into the silicon crystal, the one atom of boron can bond with four silicon atoms. Still, since boron only has three free electrons to provide, a hole is created. This hole acts like a positive charge, so boron-doped (B-doped) semiconductors are referred to as p-type semiconductors (Figure 1a). In the p-type semiconductors, the holes, like the positive charge, attract electrons. But when an electron moves into a hole, the electron leaves a new hole in the previous position. Thus, in a boron-doped semiconductor, the holes constantly move around inside the crystal as electrons continuously try to fill them. This appears like the moving of the positive carrier.

Figure 1.

Schematic of (a) boron-doped silicon, (b) an ion implanter, and (c) ion penetration path into a silicon substrate.

The unstoppable development of electronic technology demands the detailed design and effective performance of microelectronics. The formation of shallow and low resistivity junctions is required for contact resistance reduction and leakage current consideration. The precise control of dimension and dopant concentration of source/drain region to achieve a high shallow doping efficiency is crucial for junction fabrication [1, 2, 3]. Shallow doping could create doped layers with depths ranging about dozens of nanometers. It required low-energy ions for implantation by considering thermal redistribution [4]. At low energy, the penetrated navigation of ions was mainly directed along crystalline channels rather than moving randomly into semiconductors [5]. Boron is one of the essential dopants for shallow doping in silicon because of its good diffusivity [3].

Boron doping has grabbed attention for several decades. Studies can be classified as ion implantation, solid-phase doping, monolayer doping, and other methods such as sputtering and chemical solution mixing. These techniques are used widely in semiconductor technology. This chapter studied the characteristics of widely used and recently developing methods, such as monolayer doping, by showing the advantages and disadvantages of these doping techniques to give an overall sight of the doping methodology of boron, so it is easier to choose and use suitable doping techniques to meet a specific requirement in further boron-doping application.

Advertisement

2. Boron doping methodology

2.1 Ion implantation

2.1.1 The general principle of boron ion implantation.

Ion implantation is a material surface modification process by introducing a dopant, also called an impurity, into a solid substrate at a low temperature. In boron implantation, boron atoms are ionized into cations, which are accelerated and injected into a solid substrate at a depth from dozens to hundreds of nanometers by an intense electric field, consequently modifying the mechanical, chemical, or electrical properties of the target material [6, 7]. The usage of ion implantation in doping semiconductors was described first by William Shockley in 1954, but it gained noticeability only until the late 1970s and entered mass production [8]. Ion implantation has been used to dope boron in various semiconductors ranging from Si/Ge, 2D materials such as graphene, hBN, carbon nanotube, metal oxide, TiNi, TiAlNi, etc. An ion implant system, a so-called implanter, is very complicated that used to ionize, select, and accelerate ions for implantation, as shown in Figure 1(b).

It allows preciseness to control the penetration depth of boron atoms into the substrate in the ion implantation process. At first, the boron is ionized by electron impact in an ion source that contains a plasma generated by microwave radiation or radiofrequency (RF). The boron ions are extracted from the ion source using electromagnetic fields to form the ion beam, which is directed into a mass analyzer magnet. The beam is centered and bent at a right angle. The radius of the ion bend is determined by analyzing the ions’ electromagnetic field characteristics in a high vacuum environment to avoid the ambient gas molecules that could affect the mass-to-charge charge ratio. Therefore, boron ions are selected from different ions in the ion source to exit the mass analyzer using an electromagnetic lens. The ion beam of boron atoms is accelerated to high energies (toping up from sub-keV to MeV values) and steered to inject onto the target substrate using electromagnetic fields. This process must be carried out in a high vacuum environment to avoid the ambient gas molecules that could affect the linear free travel of the ions. When boron ion reaches the crystal surface, the penetration of boron ions into the crystal matrix is proportional to its angle of incidence and energy. The path of ions is not a linear line but follows a “lightning” line through the crystal (Figure 1c). The concentration of dopant atoms corresponds to the penetrated depth into the substrate obeying a Gaussian distribution as shown in Figure 2.

Figure 2.

Representative dopant profile in a substrate undergoing ion implantation.

The average value of total path length is termed the range R that is considered at both horizontal and vertical motions. The average depth of profile is known as the projected range Rp, which featured for ion energy and mass of dopant with a standard deviation ΔRp. The ion concentration N(x) at depth x can be described by equation in Figure 2, where Np is peak concentration, Rp is the projected range, and ∆Rp is the standard deviation.

The implanted dose Q that is required to satisfy Np and Rp is calculated by the below Eq. (1):

Q=Nxdx=2πNpΔRpE1

The two factors affecting the boron implant process that can be controlled to adjust the implantation conditions are implant energy and boron dosage (fluence). These two parameters can examine the range (depth) distributions of implanted ions. Moreover, the characteristics of dopants are essential for the implant process. Unlike heavy ions formed by Sb, As, and P, light boron ions are transferred easily into the crystal, making boron ions stop at a more profound distance than at the same energy condition.

During the ion penetration, the irradiation energy is enough to break the lattice matrix of the semiconductor to create defects. Still, the atoms sometimes could not substitute lattice sites and could be stranded in interstitial positions. Post-implant annealing is vital to stimulate boron by replacing the boron atoms in the crystal lattice positions. This process will also help repair any damage induced in the crystal matrix by the extreme collisions of the high-energy boron ions and somewhat widen the allocation of boron [9]. Once situated into the lattice, boron will work as an acceptor to improve the electrical properties of original semiconductors.

Ion implantation in crystalline solid created the different types of defects, including (1) a Frenkel defect, a type of point defects in crystalline solid, are interstitials (self-interstitials), and vacancies (substitutional points) created from breaking lattice sites illustrated in Figure 3a (2) interstitial and vacancy clusters formed by the combination of interstitials and vacancies, (3) the complexes of defects-dopant resulting from the interaction between defects and dopants, (4) amorphous states, in which regular lattice is destroyed thoroughly after implantation. All these defect forms are determined in boron implanted silicon corresponded with different implantation conditions. Silicon interstitials are typically dominant defects that are created from boron implantation in silicon; besides that, we also found the existence of Si interstitial clusters, boron-Si interstitial clusters, which are products of the interaction of Si interstitials with each other, and Si interstitials with boron implanted atoms [10, 11, 12].

Figure 3.

(a) The formation of a vacancy/interstitial pair of implantation damage in crystalline solid. (b) EOR dislocation loops that create at the a/c interface after solid-phase epitaxial regrowth.

Depending on implantation conditions (implantation dose, energy, and annealing), the implantation-annealing damage can also induce the formation of extended defects that are divided into three main types, including dislocation loops and rod-like defects {311} and stacking faults [14, 15, 16, 17]. The {311} defects are noticed with a long, thin rod-like shape; hence, they are also called rod-like defects. These rod-like defects are collections of silicon atom ribbons that arrange lying on {311} planes and extend in the <110> directions to create planar defects. The dislocation loops, like its name, are the deformed structural defects formed by the precipitation of an extra circular atomic layer of silicon atoms on a {111} plane. The stacking faults are crystallographic defects resulting from the disordering of stacking planes [16, 18]. These extended defects are different forms of Si interstitial clusters formed from the combination of Si interstitials, and they can survive even after thermal annealing [19].

Apart from implantation defects, a dopant diffusion phenomenon was found during annealing, and it is enormously different from normal equilibrium diffusion. They discovered that this phenomenon was more vital than at low temperatures of annealing and slowed down at higher temperatures. By its features, this phenomenon has been named Transient Enhanced Diffusion (TED) since the 1980s [16]. TED is one of the main problems affecting the reduction of boron activation during thermal annealing. The enhanced boron diffusion causes the spreading of the boron profile and the deepening of the junction. It has been found that TED has a profound relationship with the presence of excess Si-self-interstitials in silicon [11].

High-energy implantation is typically favored to obtain superconductors. However, this could cause the lattice disorder after implantation. The structural damages caused by boron implantation at high energies in silicon were investigated and classified. They found three regions of the damaged layer that are situated along with the silicon’s depth: the near-surface crystalline region, the severely damaged region, and the tail zone of the damaged layer after boron implantation at 1 × 1015 ions/cm2 [15]. In other materials, such as diamonds, the accumulation of lattice defects is the main problem of ion implantation. The accumulation of multiple defects generated a lot of vacancies, represented by damage density (vacancies/cm3). A considerable damage density in diamonds is caused by high-energy boron implantation around MeV and the thermal annealing process rather than restoring the diamond structure, and it causes the graphitization in diamonds [20, 21, 22]. These defects resulted from the high-energy collision of boron ions into a solid substrate, which broke the lattice sites. In some boron implant cases, the ions could not activate and diffuse inside the semiconductor leading to the unsuccessful replacement of boron atoms in lattice points. This resulted in the less of electrical carriers in this semiconductor and the ineffective boron doping process.

The amorphization process regularly creates a bunch of extended defects. It also causes end-of-range (EOR) defects beyond the amorphous/crystalline interface. EOR defects produced during amorphization are more abundant in self-interstitials compared with extended defects created under non-amorphization conditions. The formation of the amorphous layer is investigated related to using high-dose boron implants. Suppose the implanted boron doses are more enormous than required. In that case, it produces a high density of defects (silicon interstitial and vacancies) that can accumulate into defect clusters and trigger the amorphization process. The interstitials are highly mobile; the amorphization process that occurs at low energy implantation can cause an out-diffusion of boron atoms and interstitials to the surface, which limits the fabrication of shallow junction. The loss of interstitials results in the failure of recrystallization during annealing [23, 24]. Boron implantation with a high dose causes the enhancement of the boron diffusion, which leads to inactive boron in silicon. Boron atoms are found that gather into clusters and substituted silicon atoms at a supersaturation condition of a boron implantation dose that was greater than 1.1 × 1019 ions/cm2. The boron diffusivity appeared in silicon that lowered the activation of boron, but annealing at high temperatures from 800°C to 1000°C in silicon retarded the boron diffusion in silicon and increased active boron concentration [25].

Moreover, the high implantation doses used to obtain high boron concentrations can cause amorphization of the implanted region [26]. In a study by Aradi et al., the significant increase of defect concentration at higher boron ion fluence of 1 × 1017 ions/cm2 caused a lattice disorder resulting in amorphization of h-BN material [2728]. Similarly, a report on implanting boron in Ge showed that high boron concentration exceeded the solid solubility limit and caused boron atoms to be immobile even after annealing treatment at high temperatures. Some research indicated that implantation induced defects that increase the diffusion of boron rather than retard the diffusion. Furthermore, using a high dose of boron can lead to precipitation of excess boron, which may reduce the boron diffusion. However, it still leaves boron atoms inactive because of the combination of boron with defects [20]. The defect clusters arise from the dissolution and erosion resulting from the recombination and out-diffusion of defects. Temperature conditions in boron implantation are also a factor affecting amorphization. The lattice damage at negative implantation temperatures is recorded that is more severe than implantation at room temperature. It was reported that the level of lattice disorder could be 20–30 times lower in room temperature implants than those implanted under cold conditions, for instance, −150°C. Lui et al. also found that boron implanted at a cold temperature of −100°C caused more implant damage by boron self-amorphization no matter the dose and implant energy [23, 29].

2.1.2 Post-annealing process and boron activation

The post-annealing is an important process to repair the principal damage created by ion implantation, restore the lattice site to a perfect lattice state, and activate dopants into substitutional sites [9, 30]. After ion implantation, the semiconductor is usually so severely damaged; therefore, its electrical behavior is controlled by deep-level electron and hole traps where carriers are captured and increase the resistivity of the semiconductor. The subsequent annealing process is required to heal lattice damage and reside dopant atoms in substitutional positions. A suitable annealing treatment is very important, which resolves problems after implantation, including recrystallization, dopant activation, and diffusion depth. There are mainly two types of post-annealing: furnace thermal annealing and rapid thermal annealing (RTA) for ion implantation. During post-annealing, the repair and diffusion processes coincide, but their speeds vary depending on the annealing’s temperatures and time. The furnace thermal annealing is satisfied to supply a high temperature but requires a time furnace annealing of at least 15 min to ensure a practical operation. Therefore, the furnace annealing typically causes unnecessary boron diffusion. Rapid thermal annealing is used to heat implanted materials by different methods (with various heating-based lamps) in a rapid period from a hundred seconds to nanoseconds, which allows for minimizing the boron diffusion. The mechanism of thermal annealing to repair the lattice damage depends on damage levels in materials after implantation, and it relates closely to the boron activation.

At the beginning stages of annealing, the vacancy clusters and interstitial clusters are disbanded to release vacancies and interstitials. Most of the Frenkel pairs are removed in the initial stages of annealing, leaving interstitial-type defects, which freshly released after dopant atoms occupy lattice sites and kick Si interstitials out. These Si interstitials condense quickly into characteristic rod-like defect {311} clusters on annealing at temperatures over 400°C. When annealing at 900°C, the density of these {311} defects can increase rapidly to reach the peak and start to dissolve upon ongoing annealing due to the evaporation of Si interstitials [6].

If the damage is not severe, these rod-like defects dissolve absolutely, and the crystal structure recovers perfectly. Above severe damage level, the larger {311} defects can turn into stable dislocation loops, which are very strenuous to remove. These loops are secondary defects and remain after the primary damage is disappeared utterly. Higher-dose implants create a large number of stable dislocation loops, which trigger the silicon amorphous. The high density of these loops locates at the interface region between amorphous and crystalline silicon (amorphous/crystalline interface) by a solid-phase epitaxy growth process. These defects are referred to as the end-of-range (EOR) defects situated at the amorphous/crystalline (a/c) interface, as depicted in Figure 3(b). This is because a large amount of damage locates below the threshold of amorphization beyond the a/c interface. The amount of damage beyond the a/c interface can be possible depending on the damage limitation that crystal can contain without being amorphous. This damage includes the most significant amount of {311} defects and a range of dislocation loops in a narrow area just below the a/c interface on the crystalline side [6, 31].

The secondary damage is very stable, even annealing by RTA anneal at a temperature of 1000°C. The loops increased the size from 10 nm to around 20 nm of radios during annealing; this happens to conserve the total number of interstitials trapped in the loops and make these loops hard to remove. When the temperature of RTA is high enough, the EOR dislocation loops can be removed; for example, it is revealed that these loops disappeared at 1100°C for 60 s [6, 32].

To activate the electrical activity, implanted boron atoms must reside in substitutional sites in the semiconductor material lattice. Moreover, the broken bonds in the lattice matrix must be cured to return the mobility of the electrical carrier [620]. This is a principle to achieve high levels of dopant activation. The activation of ions, therefore, depends on the level of damage in the lattice after implantation and post-annealing treatment. The levels of damage can be classified into three types: low levels of damage, very high levels of damage that occur in amorphization, and the mid-levels of damage below the amorphization threshold where partial disorder occurs. Depending on the ion implantation conditions, the primary damage is often at a low level that the annealing process can repair completely, and the high dopant activation levels are reached. For instance, post-annealing increases boron implant performance in a diamond. Yuhei Seki et al. carried out the B doping by ion implantation in diamond by 60 keV at room temperature followed by thermal annealing at 1150°C for 2 h. They reported that an excellent doping efficiency reached approximately 80% with the maximum boron concentration of 3.6 × 1019 ions /cm3 (around 200 ppm) [30]. In addition, boron was doped into graphene film assisted by a stopping layer of polymethyl methacrylate (PMMA) on top to control the B distribution centered on the graphene sheet. The electrical properties of graphene were enhanced by the increase in charge carrier density corresponding to the rise of concentration ranging from 5 to 50 × 1010/cm2. The roughness of the graphene surface was also increased after the doping process. Moreover, the post-annealing at 1000°C for 10 s improved the boron doping performance by increasing approximately 13 times the boron activation in graphene, which proves the importance of the annealing step after ion implantation [13].

Oppositely, at extremely high levels of damage that take place in amorphization, the annealing cannot treat and deal with the amorphous region, so a nearly practical method to remove damage and recrystallize lattice to achieve high dopant activation is solid-phase epitaxial regrowth (SPER) [6]. SPER can regrow the lattice of the substrate, which is amorphous by layer-by-layer epitaxial restructure starting from the amorphous/crystalline interface. Its mechanism is similar to the crystallization process in which a crystal solid is formed from either a melted liquid phase or gas phase deposited onto a crystalline substrate, except that SPRE occurs from a solid phase rather than a liquid or gas phase. The regrowth eliminates the damage in the amorphous area and limits the dopant diffusion at a low temperature. Most of the dopant atoms’ broken bonds are recovered onto lattice sites in the amorphous regions during the SPER process, increasing the activated dopant concentration to create electrical carriers [6, 26, 33, 34, 35]. For example, the experiment simulation about the effect of low-temperature SPER with boron activation in pre-amorphized Si was carried out by Aboy et al. They calculated the active B concentration reached up a few times 1020 cm−3 and the minimal diffusion after effective SPER treatment [26]. However, the boron activation levels can be dropped drastically as the boron dose is increased [33]. At a high concentration of implanted boron, it is challenging to recrystallize amorphous layers and fully active boron ions in pre-amorphized silicon [35]. However, it has been found that a fully amorphized region is much easier in many cases to repair than a partially damaged region.

The third type of damage level, which lies below the amorphization threshold, is much more difficult to be cured by annealing because this region contains secondary defect forms that make the annealing treatment more complex [6, 36]. The activation process behaves correspondingly to a temperature that indicates the complex interactions between the dopants and the defects [37, 38, 39]. At very low doses, boron ions are almost activated even after annealing at a very low temperature, and it is quickly fully active after increasing temperature. However, it is very slow to activate boron in higher doses. A publication by Chang et al. described boron activation at low temperatures below 400°C and concluded that boron activated increasingly during annealing, but the active boron percentage was dropped with increasing implant doses [37]. The observation of boron activation was investigated in the research of Seidel TE et al. Boron implantation process creates deep-level traps of damage that increase the resistivity of silicon, and a fraction of these traps is disappeared after annealing at 400°C, decreasing resistivity and enhancing the boron activation, but a “reverse annealing” phenomenon occurred between at 450°C and 500°C, which reduced the carrier concentration in silicon. This phenomenon is explained by the competition between silicon interstitials and boron atoms in institutional lattice sites or by the pairing of boron atoms with interstitials to form inactive complexes. Then annealing at temperatures beyond 550°C, the activation process gained a gradual rise to reach the complete activation level at the highest anneal temperatures [40]. The complexation of the thermal annealing is affected by the diffusion of boron atoms in silicon. The damage can exist longer at low temperatures and increase the boron diffusion, whereas, at high temperatures, the damage is eliminated faster [36]. Huang et al. described the “reverse annealing” phenomenon at low-temperature annealing (525–800°C) in boron-implanted silicon and explained that the occurrence of reverse annealing is due to the formation of boron-silicon interstitial complexes and enhancement of boron diffusion that related to silicon self-interstitials [41]. In addition, the enhancement of boron diffusion is also observed during annealing treatment at a higher temperature, which is caused by the complex damage in the region below the amorphous/crystalline interface [38, 42].

Although the annealing is essential for boron implantation, annealing at higher temperatures to active implanted boron atoms can cause the diffusion process, which makes it difficult to generate the shallow junctions. The restraining of boron diffusion is important to obtain higher boron activation. However, the presence of the excess interstitials causes a transient enhancement in the dopant diffusion called transient-enhanced diffusion (TED) [43, 44]. TED often occurs during annealing at low temperatures, wherein boron atoms diffuse faster than annealing at a higher temperature. Jain et al. found out that annealing boron implanted Si substrate at 800°C made boron diffusing much faster than normal thermal diffusion. This enhanced diffusion is temporary and stops when it reaches saturation. They explained that during low-temperature annealing, Si interstitials kicked the substitutional boron atoms out of lattice sites; boron atoms can diffuse easily. Besides, the combination of interstitials and boron atoms created highly mobile complexes. Therefore, the main reason that caused the diffusion of boron is the excess Si interstitials resulting from implant damage and surface oxidation. Suppose the annealing process is conducted at higher temperatures. In that case, the interstitial and interstitial-boron clusters are unstable, and the pairing of interstitials and boron atoms is decreased, leading to the retardation of TED. Therefore, in post-annealing processes, rapid thermal annealing is likely to prevent the TED phenomenon [19, 38, 45, 46]. Two typical analysis methods are used to determine the quality of semiconductors after boron implantation and post-annealing treatment, which are thermal wave measurement [47] and the sheet resistance measurement [48]. However, both methods are ineffective in measuring the thickness of ultra-shallow junction produced at low-energy implantation due to the beyond resolution limit [49].

Most implant energies range from 30 keV to 200 keV; fabricating a junction shallower than 100 nm usually requires low energy, for example, below 100 eV. At low energy, it is difficult to implant ions into the substrate. It requires an economically feasible approach and the progression of technology generations. The reason most implants cover the range above 30 keV is that this is a low-energy limit that is required for extraction voltage for the ions from the source plasma. Moreover, extracted ions are usually accelerated to higher energies; a deceleration can cause tricky problems in engineering and require optimization for machine design. However, the high doses cannot be implanted at very low energies because of sputtering off surface atoms of the incoming ions and resulting in a self-limiting dopant dose. Besides, the profiles are affected by transient enhanced diffusion (TED), which reduces activated ions in materials, and it can be recovered by annealing and still can obtain junctions with the depths around a few tens of nanometers [50]. Collart et al. reported that the boron atoms are difficult to activate in silicon if implanting at lower energies. During ion implantation at the low ion energy of 100–1 eV, the boron penetrated the silicon creating a profile with a depth of around 100–200 nm. However, most of the profile depth disappeared after the rapid thermal annealing at around 1000°C. This is explained by the fact that boron is trapped and deactivated at the surface during the implanted process, and annealing enhances the diffusion of boron, leading to the removal from the substrate [19]. On the other hand, implant with high energy with MeV range is often achieved simply. This technique is applied to form the deep well in CMOS technology to achieve super-junction power [6, 51].

2.1.3 Advantages and disadvantages

Ion implantation is a doping process conducted at low temperatures, in specific areas, and with an exact dopant dosage. It is easy to turn the depth/ions selection. By changing fluence and accelerating the energy of the ion beam, the dosage and implant energy can be controlled and modified for requirements. Besides precise dose control, the dopant profile (peak depth and spread range) can also be adjusted better than the diffusion method, in which peak concentration is always defined near the surface. Ion implantation has been known as an exceptionally clean surface treatment technique. There is truly little or no contamination during implantation because boron ions were collected from beam analysis, and other contaminant ions were removed before penetrating the target. Moreover, it normally operates in a high vacuum environment, so the atmosphere’s impurities cannot affect the surface. Boron ions penetrate and replace the lattice sites of materials to activate the electrical properties. Therefore, the implanted substrates are not sensitive to either surface treatment or surface cleaning procedures.

The ion implantation process requires specialized and relatively expensive equipment, such as a modern ion implanter, which costs about 2–5 million dollars depending on the model and size (the price reported in 2003) [8]. The costs of operation and maintenance for ion implantation are also high because it demands a high vacuum environment during operating and periodic maintenance to avoid contamination and technical issues [52].

However, ion implantation with a larger amount of dose at high energy causes severe damage to semiconductor material lattice, for example, the amorphization in silicon or the graphitization in a diamond that cannot repair by a normal post-implant anneal. For example, using a high-energy boron beam at 8 MeV of B3+ and fluence of 570 × 1014 ions/cm2 caused the total disappearance of diamond peak by loss of diamond structure, and the annealing at 1000°C for 1 h is reported to not be able to heal its structure [20]. Moreover, a boron implant at a higher dose is reported to create the secondary defects as stable dislocation loops, which can remain and can trigger the silicon amorphization after annealing at 1000°C [6].

Boron implantation is the most convenient method recently applied to dope boron in semiconductors. However, it is very difficult or sometimes impossible to obtain very shallow. Because the shallow implantation is very complicated and requires an optimal process of ion implantation and appropriate post-annealing to control various phases involving the collision between doped ions and lattice matrix, destruction of the matrix, projection of implanted ions, and the restructure (recrystallization) and dopant atom activation and diffusion [53]. In particular, boron implantation is challenging to create ultra-shallow junction because of two main impediments: transient enhanced diffusion and Si interstitial/boron-interstitial typed clusters because the increase of excess interstitials in silicon lattice leads to enhancement of the boron diffusion rate, which related directly to boron inactivation and the loss of boron out of substrate [3, 19, 54]. Around 20% of the implanted boron resides at substitutional lattice sites, and the rest of the boron ions produce pure boron clusters and silicon-boron clusters [12, 54]. Both are caused by silicon interstitial supersaturation, which is a consequence of implant damage and creates extended defects that tend to agglomerate and form interstitial silicon clusters [3, 12]. Therefore, forming an ultra-shallow junction requires not only the optimization for the implanter to control low energy in the implantation process but also the need to manage the boron diffusion and defect clusters during annealing.

Ion implantation is a standard method that typically introduces ions into the top side of the flattened substrates or films. However, it is very directional. Therefore, it can introduce boron ions into the sidewall of multi-gate devices such as fin field-effect transistors (FinFETs) by tilting the incident ray to implant ions. But there are some limitations of implantation on the sidewall: (1) the boron dose retained after implantation is very sensitive to the incoming angle of the ion beam. The high tilt angle can implant ions sidewall easily and increase sidewall boron storage. (2) It is difficult to implant at a high tilt angle for dense structures in which transistors are located close to each other on the wafer, (3) the severe implantation damage is hard to repair, the silicon structure is not able to recrystallize even after rapid thermal annealing at high temperature [55, 56].

2.2 Boron monolayer doping

2.2.1 Current development of boron monolayer doping

The dimensions of electronic devices have been shrunken to the nanoscale following the semiconductor generation node. The traditional planar structure devices are hard to realize generation nodes (<10 nm). 3D finFET structure device is proved to achieve better performance and minimize the fabrication difficulties. During progressive doping, ion implantation is typically used for FinFET fabrication, but it faces challenges from crystal damage for such fin structure and limitations of dimensional geometry [57]. Monolayer doping (MLD) was suggested first by Javey et al. in 2008 as a substitute doping technique to obtain ultra-shallow junctions [58, 59]. They successfully fabricated sub-5 nm junction depths, which can be down to approximately 2 nm of depth with low sheet resistance (lowest value ∼825 Ω/sq) via phosphorous monolayer doping method using diethyl 1-propylphosphonate (DPP) to obtain 70% active phosphorous dopant after RTA with temperatures ≥950°C.

The monolayer doping process consists of two main stages: self-assembly of molecules onto the surface to form monolayers and thermal annealing process to diffuse and active dopants. In the self-assembled monolayer phase, the dopant-containing molecules are grafted onto a semiconductor surface via a covalent bond between the terminated functional groups of molecules and the termination modified surface. Next phase, a capping layer was applied to prevent uncontrolled loss of the dopant molecules upon heating. A thermal annealing process was conducted to drive the dopants into the semiconductor substrate that simultaneously activates dopant atoms. The masking layer was then removed to obtain a thin doped layer or junction [58, 60]. MLD demonstrated that it causes no lattice damage and is capable of doping impurities into dimensional structures due to the conformal nature of the monolayer assembly process that avoids the shadow effects occurring in ion implantation. There are various elements that were doped into semiconductor substrate by MLD to obtain ultra-shallow doping including phosphorus [58, 59, 61, 62, 63, 64], boron [58, 60, 65, 66, 67, 68, 69], nitrogen [70], sulfur [71, 72, 73], arsenic [74], antimony [75].

Monolayer contact doping (MLCD) is an innovative method based on monolayer doping (Figure 4a). In this MLCD technique, the dopant-containing monolayer is formed onto a thermal oxide wafer (Si + SiO2) as a donor substrate by a self-assembly process. The donor substrate is then brought into contact with the target substrate (typically with intrinsic silicon substrate), afterward, annealed using the RTA process. Under the annealing process, the molecular monolayer occurs by the thermal decomposition, and dopant atoms from monolayer fragments diffuse into donor and target substrates. This indicates that both monolayer and contact doping arise simultaneously onto donor and target substrate, respectively. Due to direct contact between two substrates during annealing, MLCD does not need a capping layer of SiO2 to avoid the out-diffusion of dopant atoms. MLCD can apply to conventional top-down or bottom-up semiconductor processes and doping impurities in nanoscale structures such as silicon nanowires. This method allowed control of surface doping with nanometer-scale structures. The first report on MLCD was published by Hazut et al. in 2012. They used phosphorus-containing molecules (phosphine oxides) for MLCD onto the target silicon substrate. They obtained a level of dopant concentration higher than 5 × 1020 cm−3 with a depth of dopant profile around 30–40 nm and sub-10 nm at short annealing times [76]. Subsequently, MLCD is utilized widely to dope materials such as phosphorus [77], boron [66, 76], sulfur [78] to obtain an ultra-shallow doping layer with nanometer scales for semiconductor applications. However, to achieve a high dopant concentration in the target substrate, the minimization of dopant diffusion in the donor substrate is required to focus dopant atoms on the target substrate. MLCD was applied to fabricate parallel p-n junctions on NWs by one-step doping. Boron and phosphorus were doped simultaneously onto two sides of NWs, achieving high dopant concentrations with P-doped and B-doped poles respectively of 2.6 × 1019 cm−3 and 1.0 × 1020 cm−3 concentration [79].

Figure 4.

Schematic of (a) parallel p-n junction configuration formation across oriented NWs by a one-step contact doping process printed with permission from ref. [79]. Copyright 2014 American Chemical Society; (b) remote monolayer contact doping process with phosphine oxides molecule with a photoresist mask printed with permission from ref. [80]. Copyright 2017 American Chemical Society.

To control the doping areas, remote monolayer doping (R-MLD) is developed with the principle of monolayer contact doping, but there is a distinct feature that R-MLD is performed without the contact between donor and target substrate. In R-MLD, the target substrate is covered partially by a thin separator mask with microscale thickness. There are unmasked areas and masked areas on the target substrate. Therefore, the donor substrate with dopant-containing monolayers cannot contact directly with the target substrate due to having a gap between these substrates. During the rapid thermal annealing, the monolayer source is fragmented at elevated temperature to generate volatile fragments, which subsequently evaporate into the gas phase to react with the oxide surface at the substrate surface. Annealing with the RTA process causes dopant diffusion through the native oxide and is activated and incorporated into the semiconductor surface [68, 80]. Hazrat et al. described the R-MLD process using diphenyl phosphine oxide for phosphorus doping with a silicon wafer in which the target substrate was patterned by an AZ4562 photoresist as a separator mask. RTA process was implemented at 1000°C in 6 s and 30 s for additional annealing. Although the diffusion of gas-phase dopant between the mask and target substrate was observed, the phosphorus incorporation efficiency into the target silicon substrate reached 70%. Moreover, boron doping using phenylboronic acid was carried out with the same procedure to compare with phosphorus doping using tetraethylmethylene diphosphonate (40% of incorporation efficiency). The SEM of doping profiles showed a higher contrast for boron onto the target silicon wafer compared with phosphorus. This indicated that tiny boron atoms are diffused into the mask layer during R-MLD. R-MLD process is shown in Figure 4(b) [80].

A modification of MLD reported by Ye et al. is monolayer contact doping (MLCD). They modified the MLD technique by forming boron-containing SAM onto a thermal oxide silicon substrate instead of directly onto the target substrate. This source substrate is subsequently brought into contact with the target substrate, upon which the dopant is driven into the target substrate by thermal annealing. Therefore, the thermal oxide substrate was an efficient capping layer for annealing. Carboranyl-alkoxysilane was used as a boron-rich source and easily created SAM without using harsh reaction conditions owning to the active silane headgroups. The higher boron-doped concentration was achieved compared with normal MLD by carborane alkene under the same RTA condition (more than two times) [65]. Moreover, the MLCD method reduced the boron diffusion to only 2%, which is advantageous for reusing the source substrate [66].

An investigation by Park et al. demonstrated that surface states of the target substrate significantly influence the boron doping efficiency using monolayer doping. The good boron doping levels were achieved with a non-damaged clean surface, but the boron incorporated level dropped approximately an order of magnitude on the damaged surface. However, treatment processes to heal the surface state effectively boron doping by MLD. The doping levels on these treated surfaces were much higher than the damaged surface but still lower than the pristine and undamaged surface. The different orientations of silicon substrate also affect the boron doping performance. The 100-oriented silicon was observed as a two times higher doping level than the 110-oriented silicon. That is because of the dependence of the ratio of hydrogen terminations on orientations. The (110) surface has a lesser number of active reaction sites for monolayer formation compared with the (100) surface [81].

2.2.2 Formation of dopant-containing self-assembled monolayers (SAM)

Self-assembled monolayers (SAMs) are monolayers formed by the self-organization of organic molecules in a solution or vapor environment onto the solid substrate through chemical interaction between head groups of molecules and functional groups of solid surfaces [82]. Self-assembly is a process in which molecules graft spontaneously onto a semiconductor substrate by chemical adsorption between head groups of molecules and specific terminations on the substrate surface. During assembling, the tail (back bond) of molecules interacted with each other under a balanced state to create a well-organized and stable monolayer [83]. Therefore, depending on the head groups of dopant-containing molecules, the semiconductor surface requires particular and suitable terminations. For instance, terminal alkene (C〓C) or alkyne (C≡C) (unsaturated organic compounds) can attach to the hydrogen-terminated surface, and alkyl silane groups (Si−(OR)3) can bond with the hydroxyl-terminated surface. If the semiconductor substrate is a silicon wafer, these processes with hydrogen and hydroxyl terminations as known as hydrosilylation [57, 84] and silanization [66, 85], respectively. In some cases, the SAM formation can create by the non-covalent interaction of head groups of molecules with terminated groups of a substrate. For example, phosphine oxide groups of the phosphorus-containing molecules can form the phosphorus dopant SAM by a non-covalent bond on to hydroxyl-terminated substrate [76].

In monolayer doping on silicon, the hydrosilylation process primarily conducts the self-assembled monolayers. In this process, silicon must be cleaned and the native oxide removed to create hydrogen termination by an aqueous solution of HF or NH4F [86]. The silicon wafer was then incubated in the molecular-containing solution. Relying on the molecular type, different conditions, including heating or irradiation with light, were added to promote the reaction. For example, the dopant-alkene molecules bind covalently with hydrogen-terminated silicon to form the C−Si bond onto the silicon surface under a traditional heating condition of 150–200°C or under irradiation with UV light, visible light [87, 88, 89]. This hydrosilylation process between saturated compounds and hydrogen-terminated silicon was demonstrated following a radical-chain mechanism [90].

On the other hand, SAM was also produced by the silanization process, a conventional method used to cover the solid substrate with organofunctional alkoxysilane molecules [85]. In this process, the solid substrates are required hydroxyl terminal groups that can react with alkyl silane to form a covalent Si−O−Si bond. The substrate surface must be cleaned to remove organic residues and generate sufficient hydroxyl groups. Numerous methods are used to clean surfaces consisting of a wet etching by combinations of acid, bases, and organic solvents at different temperatures or irritation with UV light and O2 plasma [91, 92, 93]. The most widely used cleaning method is called Piranha cleaning, which is a mixture of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2). The silane molecules are hydrolyzed into silanol groups, which react with a hydroxyl-terminated surface via the condensation reaction:

SiOH+HOsubstrateSiOsubstrateE2

The self-assembly using silanization was performed using vapor-phase deposition and solution-phase deposition. The cleaned substrate is dipped in molecular solution in the solution-phase deposition. For the vapor-phase deposition, the hydroxyl-terminated substrate was kept under a vacuum environment where molecular liquid can be evaporated into molecular gases and assembled onto the substrate. The reactivity of molecules with hydroxyl-terminated surfaces depends on the molecule’s properties [94, 95].

2.2.3 Thermal annealing in monolayer doping

The thermal annealing is used to decompose the dopant-carrying molecules and drive dopant atoms into the substrate, creating a thin doped surface layer. Ultra-shallow doping by MLD required a higher solid solubility and a lower diffusivity of dopant to prevent the deeper dopant profile. Besides, solubility and diffusivity factors proportionally correlate to the temperature of the annealing process. The enhancement of boron diffusivity happens at elevated temperatures of annealing. Therefore, controlling the annealing process at a suitable temperature and time is essential for MLD.

The thermal annealing techniques include rapid thermal annealing (RTA), furnace thermal annealing (FTA), and microwave annealing (MWA), which RTA is a favorite in MLD. The report of Ho et al. investigated the boron diffusion at different annealing temperatures during the RTA process. The results showed the sharp boron diffusivity at a higher temperature induced a more profound depth of boron profile. For example, the boron profile depth obtained at an annealing temperature of 950°C was around 18 nm, but that was deeper, around 43 nm at 1000°C for 5 s of RTA. The increase of boron diffusion can cause a decrease in the boron doping level. For instance, the number of the diffused boron atoms into silicon lattice after RTA is estimated at around 33% of the total number of boron atoms onto surface lattice before spike annealing [58]. The high temperature of annealing can promote a more active dopant into the substrate. Besides, the annealing time is also necessary to control the dopant profile depth. The short annealing time can prevent dopant atoms from being driven deep into the substrate. Therefore, RTA at high temperatures with a temperature ramping rate above 50°C/s is favorable in MLD [57, 59]. Furthermore, Ye and coworkers note that the annealing time reported having a smaller effect on the active dopant concentration than the annealing temperature. The doping concentration at 1000°C for 15 s of annealing time was observed to be nearly the same as observed for 6 s. However, increasing the annealing temperature from 1000°C to 1050°C appears to significantly change the highest dopant concentration. This can be explained by the dependency of boron solubility upon temperature: the higher the temperature, the greater the solubility of boron [65].

Hence, an ultra-shallow junction can be obtained by optimization of the RTA process with lower temperatures and shorter times. The report in 2009 by Ho and coworkers exhibited the successful fabrication of shallow junctions using boron-containing molecules to obtain the depts of around 1–2 nm, which is even shallower than phosphorus MLD (sub-5 nm) at the same annealing conditions due to the lower diffusivity of boron compared with phosphorus. The sheet resistance of the boron-doped layer is reported, that is, higher than ∼104 Ω/sq. [59]. The boron diffusion was reported that is lower than phosphorus atom diffusion, which was investigated by Ye et al. [62, 69]. In the same MLD conditions, the boron can achieve shallower depth around sub-5 nm but phosphorous at nearly sub-10 nm. The surface concentration of boron is higher than the surface concentration of phosphorous. [59].

A furnace thermal annealing at 1000°C for 5 min was used for MLD of the mixture of dopant-containing molecules and blank precursors [62, 69]. The boron profiles were investigated by using dynamic secondary ion mass spectroscopy (D-SIMS). The authors found out that the boron atoms diffuse around 125 nm deeper than RTA at short times of 5 s (43 nm at 1000°C) with boron-containing molecules only. The boron diffusivity is decreased when using the molecules mixture. Several reasons contributed to boron diffusions, such as temperature, annealing time, molecule doses and types, and the contamination in the monolayer. That makes the diffusion of the atoms from the monolayer into silicon a complex process. Despite the lower diffusivity of boron in the SiO2 capping layer than in silicon substrate, the amount of dopant lost in the capping layer remains unclear and requires a particular investigation.

Hsu et al. did an investigation of the boron dopant profile not only on the silicon substrate but also on the capping oxide. They designed an alternate annealing process using microwave annealing (MWA) for boron monolayer doping to compare with RTA, as shown in Figure 5. The boron atoms were found to cannot fully activate after microwave annealing compared with RTA at 900°C. Hence, the insufficient thermal budget of the MWA process limited the replacement of boron atoms in silicon lattice leading to the formation of boron deactivated clusters in silicon. However, the shallower junction is obtained by MLD using microwave annealing with a junction depth of 5.1 nm compared with 7.1 nm of junction depth using RTA annealing. The sheet resistance of the MWA junction is reported that is higher than that of the RTA junction because of the lower boron activation level with MWA. Moreover, they also measured the dopant profile at SiO2/silicon interface using PCOR-SIMS and calculated that less than 20% of boron atoms diffused in the silicon target substrate [67]. This enhanced SIMS technique allows a more comprehensive understanding of the boron dopant distribution at the interface.

Figure 5.

Schematic of monolayer doping using microwave annealing and rapid thermal annealing. Printed with permission from Ref. [67]. Copyright 2021 Shu-Han Hsu et al. published by American Chemical Society.

2.2.4 The capping layer of boron monolayer doping

After assembling the monolayer, a capping layer of SiO2 was deposited onto a substrate to block the dopant-containing monolayer from exposing directly during the thermal annealing process that can cause an out-diffusion of dopants. The capping layer is essential in MLD to prevent dopant atoms from escaping into the surrounding environment during thermal annealing [57, 58, 59, 69]. Javey et al. investigated MLD without depositing a capping layer and found that boron atoms were lost significantly after annealing [58]. SiO2 is a typical material used as capping layer in MLD that can be prepared using different deposition techniques including evaporation [58, 59, 68], sputtering [65], and spin coating [69, 96], atomic layer deposition [68]. The capping layer was reported that affects the dopant incorporation in the substrate. The oxygen deficiency in the capping layer, formed during the evaporation and sputtering process, decreases the dopant incorporation. Gao and workers investigated that some oxygen atoms that escaped from the oxide capping layer during annealing can diffuse into the silicon substrate and attach with boron dopants inducing boron deactivation slightly at nearly 1% [60].

The initial reports of boron MLD demonstrated that capping a layer of oxide before the annealing process is required to confine the escapes of dopant atoms from the surface into the surrounding environment [57, 58, 59, 69]. However, a recent study stated that the oxide capping layer affects boron activation in the target substrate. It can damage the boron-containing monolayer due to elevated temperatures during the deposition of SiO2. Therefore, in some instances, a higher doping level can be achieved without employing the capping layer. A series of experiments were conducted by Tzaguy et al. to compare the boron doping levels and the effects of the SiO2 capping layer on phenylboronic acid (PBA) monolayer doping using different techniques including MLD, MLCD, and R-MLD. The results showed that the doping techniques without a SiO2 capping layer enabled the lower sheet resistance values than doping with an oxide cap layer. This is because the oxide capping layer in MLD functioned as a barrier to prevent the out-diffusion of boron atoms during the RTA phase and concurrently entrapped a part of boron atoms in the deposited SiO2 layer. In addition, the PBA monolayer was formed by non-covalent assembly onto the surface. During thermal evaporation deposition of the oxide layer, the PBA monolayer decomposed and evaporated into fragments encapsulated in the oxide capping layer [68].

To avoid the oxide capping during MLD, simplified trends have recently been reported, such as self-capping monolayer doping or non-capping using monolayer contact doping or remote monolayer doping. Self-capping MLD process was studied by Alphazan and workers using hepta-isobutyl-polyhedral oligomeric silsesquioxane triester of phosphorus that provides phosphorus atoms and the silsesquioxane cage as a self-capping layer for phosphorus monolayer doping [61]. In nanoscale doping, a capping layer can cause adverse impacts during fabrication. For example, capping an oxide layer for boron MLD in highly porous nanowires (NWs) was reported that cause surface damage to NWs during the removal step after annealing. Veerbeek and coworkers utilized the MLCD and MLD with an external capping layer as alternative techniques to escape surface damages and obtain higher doping concentrations [97].

2.2.5 Molecules for boron doping

As mentioned above, the molecule types are important and affect the monolayer doping performance. The self-assembly procedure, the monolayer coverage efficiency, and molecular size are the initially critical factors in determining the dopant density on the surface. The boron-containing molecule used first as well as popularly for boron MLD is allylboronic acid pinacol ester (ABAPE) [58, 59, 60, 62, 67, 69, 76, 81]. ABAPE precursor possesses a boron atom and a terminal alkene that can form a covalent bond with a hydrogen-terminated semiconductor surface. Ho and coworkers reported the first research on boron MLD using the ABAPE molecule. The authors successfully achieved a high boron doping level of 5 × 1020 cm−3 near the silicon surface. The boron atoms rapidly diffused into silicon lattice during the spike annealing process. The sheet resistance of samples decreased around 100 times after MLD. The resistivity was extremely affected by tuning temperature rather than the time of annealing.

The performance of B-MLD depends on the number of boron atoms carried on molecules. A precursor that contains more content of dopant atoms can obtain a higher doping level compared with molecules that hold lower content of dopant. Therefore, the doping levels can increase significantly by designing a specific precursor containing more than one boron atom. For instance, MLD using carborane derivative CB-(Me, allyl) precursor, which has a carborane cluster with 10 boron atoms and alkene groups as boron-containing alkene molecules, was performed by Huskens et al. on hydrogen-terminated silicon (Figure 6a). The result of boron activation using carborane derivatives was around 10 times higher boron doping levels compared with using ABAPE molecules that have only a single boron atom [65]. The annealing time does not affect the active dopant concentration, while annealing temperature plays a role. The doping concentration at 1000°C for 15 s of annealing time was observed that stays unchanged compared with using 6 s annealing time. However, increasing the annealing temperature from 1000°C to 1050°C significantly enhanced the successful doping concentration. This can be explained by the dependence of boron solubility upon temperature; the higher the temperature increases the solubility of boron. The sheet resistance was examined by carboranyl molecular doping is lower than 20 times that of ABAPE doping, which indicated higher conductivity obtained by carboranyl molecule [65].

Figure 6.

Schematic depiction of boron monolayer doping process (a) using carborane derivative printed with permission from Ref. [65]. Copyright 2015 American Chemical Society and (b) for the areal dose control by mixing dopant-carrying molecule (ABAPE) with a blank precursor (1-undecene) printed with permission from ref. [62]. Copyright 2015 American Chemical Society.

The dose and concentration of boron-bearing molecules impact boron doping efficiency. The areal dose control of boron doping was designed firstly by Ho and coworkers [58]. The different ratios of dopant molecules were controlled by mixing dopant-carrying molecule (ABAPE) with a blank precursor (1-undecene), an alkene containing only C and H, for hydrosilylation, as illustrated in Figure 6b. The authors found that the boron concentration on the surface is proportional to the fraction of dopant-containing molecules in the mixture. The sheet resistance of samples correlates to the monolayer doping dose and delivers an approach to control the electrical properties of the semiconductor substrate. A more detailed report by Ye et al. about the relation between the precise control of boron dose with the monolayer composition and thermal annealing. It has been found that the monolayer configuration is also proportional to the dose ratio of dopant-carrying molecules. Ye and workers also explored that the higher boron concentration at the surface can prohibit the driving boron atom into the silicon. The boron diffusion from the surface into the substrate increases with the decrease of the concentration of boron-containing monolayer [62].

Similarly, Fu et al. carried out experiments to control the dopant dose and observed the impacts of dopant concentration on the boron activation and photo responses [69]. Reducing the half dose of ABAPE molecules by mixing with 1-undecene decreased the activation rate of boron from 91.4% to 54.2%. Besides, they also reported that the higher ratio of carbon interstitials in silicon contributed by 1-undecene can bound with substitutional boron atoms to form defect clusters. These carbon-boron clusters complex the boron diffusion and prevent the boron occupation in the substitutional sites leading to the reduction of boron activation. Besides, the formation of carbon-boron cluster defects was reported only when the MLD process used the molecular mixture. In a previous study by Gao et al., it was noted that the atmospheric carbon contaminants formed carbon-related defects, including CsH and CsOH, which only capture minor electron carriers and have a limited impact on boron activation [60]. However, the effects of carbon contaminants are worse on phosphorus monolayer doping, which can deactivate at least 20% of the phosphorus atoms [98]. They successfully doped boron by MLD, reaching around 95% of electrically active boron atoms with sheet resistance lower than 90 times [60].

Furthermore, monolayer sources have distinct characteristics involving decomposition features, fragmentation details, surface chemistries, and covalent or non-covalent assemblies onto the surface. Therefore, the difference in structure and head groups of dopant-bearing molecules can impact the doping levels at nanometer-scale structures. For example, boron MLCD using phenylboronic acid (PBA) and chlorodicy-clohexylborane (CDB) formed respectively non-covalent monolayer and covalent monolayer, both showed high boron doping levels in silicon nanowires (NWs). The average boron doping level of CDB-MLCD was higher than that of PBA-MLCD. However, the resistivity of the PBA-MLCD-doped NWs was lower compared with CDB-MLCD. The reason was explained because the thermal fragmentation of CDB monolayer was complicated and uncompleted during different periods of thermal annealing that created carbon-boron complexes resulting in the formation of silicon-carbide clusters increasing the boron diffusion [68].

2.3 Advantages and drawbacks of MLD

Monolayer doping allows achieving an ultra-shallow boron dopant profile with a depth of sub-10 manometers applied to fabricate ultra-shallow p-n junction with depths <10 nm that are very difficult to obtain by traditional techniques. The boron atoms are driven into the semiconductor from the surface substrate during the annealing process. Therefore, the boron penetration is shallower and limited depending on the solubility and diffusivity of the boron monolayer, which annealing conditions can control. Due to the self-assembly of boron molecules onto surfaces in a vapor or solution phase by chemical interaction, there is no geometry limitation of boron monolayer doping. It is appliable to fabricate in 3D structures such as FIN with narrow side-well or nanowires with a round shape, which cannot be obtained by ion implantation or CVD techniques. Moreover, monolayer doping is capable of controlling the doping with different doping scales that are highly versatile for various applications from nanoscale fabrication such as FinFETs or nanowire-FETs to larger-scale production of MOSFETs. Ho and coworkers were successful in fabricating p+/n USJs on a 4 inch scale of the silicon wafer. This promises an innovation of boron doping in larger-scale fabrication with uniformity of boron profile [58, 79]. It also can control the specific boron doping areas by novel monolayer contact doping or remote monolayer doping processes to avoid the out-diffusion in the capping layer during annealing. Monolayer contact doping is applied for co-doping to fabricate a parallel p-n junction Si NWs using B and P-containing molecules.

Furthermore, unlike boron ion implantation, boron MLD does not cause any lattice damage during doping and annealing. This damage-free MLD is beneficial for limiting annealing time and avoiding the undesired leakage of boron atoms. The MLD process is a simple method that does not require any specialized and costly equipment. With diverse boron-carrying molecules, the monolayer formation is able to be carried out in different vapor or solution deposition methods that can easily carry out without harsh conditions. The self-assembled monolayers are highly uniform onto silicon substrate due to the self-limiting reaction of molecules, making molecular quantities well-defined with accuracy. The areal dopant dose can be tuned by various methods, such as doping a mixture of two molecules or using different types of molecules. Consequently, the boron monolayer doping can be precise by combining the RTA condition and molecular design of the precursors that are unable to a wide range of doping profiles to satisfy the specific requirements for various applications [58].

However, monolayer doping remains a limitation. Due to the self-limitation source of monolayer onto the surface and escaping of boron atoms into the capping oxide layer, the actual boron concentration is much lower compared with doping by ion implantation and CVD. A low boron doping efficiency of ~33% [58] depending on MLD conditions was measured because of the higher boron diffusivity in oxide capping and self-limiting source of boron monolayer. Due to the dependence of solubility and diffusivity on temperature, the balance of controlling the temperature to achieve higher dopant incorporation and shallower profile depth is challenging. The sheet resistance of junction fabricated by monolayer doping remains quite high, ranging from 103 to 104 Ω/sq. The carbon or oxygen-related defects form an annealing process that can decrease the boron incorporation into the substrate as well as doping performance. But the boron doping concentration is possible to increase by increasing the number of boron atoms carried in molecules [65, 66]. Moreover, the semiconductor surface’s monolayer formation process is highly sensitive and can easily be removed. Therefore, the cleaning and capping removal processes require a careful operation [67].

2.4 Application of monolayer doping

2.4.1 Boron MLD for electronic devices

As explained above, ion implantation is not feasible to dope boron on the sidewalls of finFET structures due to irreparable crystal damage [99]. Homogeneous and conformal doping is required for small dimension devices. CVD doping can be used for 3D structures, but this method needs to control parameters, including the growth temperature, reactor pressure, and precursor dose. Therefore, CVD doping is challenging in mass production to generate uniform thin layers [100]. Due to the ability to doping a thin uniform layer of boron in 3D structures, MLD promises a practical technique applied in the semiconductor industry to fabricate small electronic devices such as CMOS or finFET with affordable expense. Monolayer doping sulfur on CMOS device designed by Barnett et al. using ammonium sulfide, (NH4)2S as sulfur monolayer source. A uniformly doped ultra-shallow junction with 9 nm of depth and low sheet resistance of 164 Ω/sq. was achieved without damage to the substrate [99]. Ang and coworkers first applied MLD to fabricate ultra-shallow junction in 20 nm finFET with phosphorous MLD. The authors successfully produced a 5 nm- n+/p junction with a sheet resistance of 8.3 × 103 Ω/sq. [101]. The 3-D finFET devices recently require a channel thickness scaled down to sub-10 nm [101] (Figure 7a). Boron and phosphorus co-monolayer doping was used to create a conform thin shell doping on polysilicon junctionless finFET devices [102, 103]. The ultra-shallow doping profiles of n-and p-type were obtained with sub-5 nm of depths. The FinFETs showed excellent gate control with Ion/Ioff ~ 106, lower off-current, and an exceptional subthreshold slope of 67 mV/dec [102]. The recent publication uses conformal monolayer doping to prepare devices with complex-geometry structures, allowing for the formation of multilayer Ge nanosheet gate-all-around field-effect transistors (Figure 7b). This can overcome the limitation of the Wrap-Around Contact method normally used for epi source/drain formation [104].

Figure 7.

The graphic diagram of monolayer doping of the five-stacked Ge nanosheets FET printed with permission from Ref. [104]. Copyright 2022 American Chemical Society.

2.4.2 MLD for solar cells

Electrical energy generation in solar cells depends on splitting holes and electrons efficiently at a p-n junction. Therefore, MLD plays a vital role in the manufacture of silicon solar cells. Boron is introduced in silicon to generate p-type semiconductors that allow the transport of electrons from one atomic layer to another. The boron-doped silicon is used to increase conduction efficiency and lower the production expense of solar panels by focusing on growing surface-to-volume ratios and p-n junction dimensions. Therefore, the solar cells can absorb the larger light converted into energy to separate more electron-hole pairs. Moreover, the non-planar doping capability of MLD makes it ideal for this application [105]. In the report of Garozzo et al., MLD was utilized to fabricate a doped layer covering the entire nanohole surface of solar cells. The radial junctions were formed inside the nanoholes with a carrier concentration of around 1019 cm−3 for both n-/p- type doping [106].

Advertisement

3. Boron chemical vapor deposition (CVD) doping

3.1 Introduction to CVD doping technique

Chemical vapor deposition (CVD) or solid-phase doping (SPD) is one technique to grow a thin film layer that involves a chemical reaction of the volatile molecule containing atom precursors. The principle of this method is the interaction between the vapor gas of the precursors with the substrate surface that is heated inside the reaction chamber. Resulting in a condensation layer that grows on the substrate surface and unreacted vapor gas that is later removed. This method is broadly used due to its potential for mass production and flexible controllability of growth parameters (temperature, pressure, precursor concentration, substrates) during the process [107].

The mechanism of this technique is to break the bond between each volatile molecule and leave the targeted atom precursor that is later reassembled as a layer of the atom. A high temperature is needed to break chemical bonding depending on each chemical bonding of the molecules. Then it becomes reasonable why this method needs a quite high temperature.

The formation of the Boron-Si junctions is summarized in Figure 8. The H atom on the passive silicon surface (Si−H) is first desorbed to provide a free H-Si dangling bond. H then releases in the form of H2 after borane deposition due to the B−Si bond that formed. Incoming borane develops bonding with Si-B as a boron cross-link over the silicon surface. Thus, a boron layer formed on the silicon surface.

Figure 8.

Chemical interaction scheme of CVD boron deposition printed with permission from ref. [108]. Copyright 2017, Vahid Mohammadi et al.

The junctions of boron-doped silicon can be introduced using two methods: ex-situ and in-situ methods. The ex-situ steps involve removing oxides and contaminants at the Si surface and effectively passivating the surface [108]. First is depositing boron on the Si surface in the form of B2O3. The oxide is then reduced on the Si surface by oxidation, resulting in a boron-rich SiOx layer to the formation of a shallow p-n junction. The boron is then diffused into the Si and activated (incorporated into a substitutional site) during high-temperature drive-in anneal [109]. The second method uses precursors that containing boron, silicon, and a catalyst in gaseous form to grow both silicon and boron layers simultaneously at high temperatures.

3.2 Current development of boron CVD doping

Boron sources that are usually used are boron hydrides (diborane), boron halides (boron trichlorides), and organoboron (triethyl boron). Boron sources are chosen depending on which precursor and gas environment that used. Even though diborane seems an upcoming boron source, it only contains hydrogen apart from boron. It is known that diborane (B2H6) has a toxic, flammable, and explosive nature, so it needs a handful of treatments. Boron halides (BCl3) are expected to be a safe boron source because it is nonflammable and less toxic. Otherwise, boron trichlorides (BCl3) will not be suitable as a precursor for BN (boron nitride) since it will produce NH4Cl as the HCl reacts with NH3, which can damage the vacuum pump. At the same time, the hydrogen chloride is corrosive to a metallic substrate. The organoboron (such as B(CH3)3 and B(C2H5)3) seems an excellent precursor to obtaining B4C (boron carbides) because it can act as a boron and carbon source at the same time [110].

Sarubbi et al. demonstrated that diborane has selectively deposited only on Si with ~6 nm thickness at 500°C for 10 min diborane exposure as TEM result does not observe any B deposited on the slope or flat SiO2 surface. The SIMS profile of the B layer formed by CVD after HNO3 treatment has a concentration peak of 6 × 1020 cm−3 and shows a 5.9 × 104 Ω/sq. sheet resistance. They also mention that it has a 2.44 × 10−2 A/μm−2 saturation current density and a 13 nm junction depth [111]. Mok et al. in 2013 demonstrated the pure boron deposition using B2H6 as a boron source and H2 as a carrier at 700°C for 9 min of deposit time. It was found that nanometer-thick pure B layers, upon annealing in the presence of oxygen, function as a catalyst for silicon oxide growth. Based on the HRTEM result, the pure B is successfully doped on the surface (100) with 2.9 nm thickness and 2.1 nm on the surface (111) after TMAH texturing. They also reported the effect of oxygen concentration on the oxide forming. The thickness changes to 24.4 nm (100) and 23.4 nm (111) after furnace anneal at 950°C for 30 min in nitrogen ambient. For dry oxidation at 950°C for 30 min in 14% oxygen concentration, the thickness is changed to 37.6 nm (100) and 43.4 nm (111). They also mention that an ample oxygen supply during annealing results in boron depletion of the boron-doped Si surface due to enhanced oxidization, resulting in a lower surface concentration and higher sheet resistance. The sample that was processed in nitrogen condition and then etched using HNO3 and HF had 61.2 Ω/sq. sheet resistance compared with the sample that was processed using in the presence of oxygen condition, which had 205 Ω/sq. sheet resistance that measured at 105 cm−3 of carrier concentration [112]. Higher oxygen concentrations of O2 lead to increased growth of an intermediate SiO2 layer, which acts as a diffusion barrier and results in an increase in sheet resistance with increasing O2 [113].

In 2020, Muroi et al. [114] used BCl3, H2, and SiHCl2 as gas precursors on the silicon surface. They observe the deposition and etching behavior at different temperatures. Boron adsorption occurs at a temperature lower than 800°C, the deposition occurs at 900–1000°C, and at a temperature higher than 1000°C, they observe etching behavior due to chlorosilanes that occur in gaseous form. In their further research in 2021 [115], they reported using a similar boron gas source at 800°C. The etching does not occur on the surface based on the HRTEM result that demonstrated the dense film without void. The work that was done by Taniguchi and Inasawa using BCl3 as a boron source in 2020 showed that the presence of boron-doped silicon nanowires could change sheet resistivity from 105 Ωcm to be in the range of 10−3–101 Ωcm [116].

B dopants’ diffusion can occur under severe conditions, often simultaneous, such as very large concentration gradients, non-equilibrium point defect density, amorphous-crystalline transition, extrinsic doping level, co-doping, B clusters formation and dissolution, ultra-short high-temperature annealing [117]. The vacancies (V) and self-interstitials (I) are intrinsic point defects significant for dopant diffusion. In germanium, both p-type and n-type are mediated by the vacancies. Boron has a slow diffusion rate compared with other p-type dopants, which helps form ultra-shallow doped regions in Ge. The slow diffusion of B is associated with a high diffusion activation enthalpy that exceeds the activation enthalpy of self-diffusion by more than 1 eV. This indicates that B atoms are not likely associated with vacancies, thus meaning that B diffusions are via self-interstitials [118]. Tu et al. [119] successfully introduced a 5 nm thickness of the boron layer in epitaxial Ge on Silicon with a peak surface of 7 × 1021 cm−3 boron concentration.

3.3 Typical applications of boron CVD doping

In their report, Liu et al. said a pure boron layer deposited using the CVD method could be used as an a-Si mask to protect from TMAH and KOH etching for long hours of exposure [120]. Other literature also shows the potential ability of boron-doped CVD as anti-corrosion on mild steel [121], used to reduce diamond growth rate to achieve a certain thickness of diamond [122, 123], used to develop boron carbide [124], boron nitride [107, 125], and also to fabricate the uniform p-type doping of silicon nanowires [109, 126], it also found that boron can be used to make a superconductor by heavily doped boron on diamond [127].

3.4 Advantages and shortcomings of the CVD technique

There are many advantages of the boron deposition using the CVD method, namely able to control the growth parameters, it can deposit a single diffusion source only on one side of the wafer, so it can be used to introduce different doping profiles and structures of the diffusion source to achieve dopant concentration profiles next to each other [128]. It also requires fewer steps than other methods and allows better tunings of dopant profiles. It has a lower thermal budget as in-situ B-doped Ge can be grown at low temperatures (400°C), and B is already activated during growth, so it does not need activation annealing [129]. Unlike ion implantation, B doped using CVD does not destroy the structure due to annealing. Other advantages are that it can perform ultra-shallow junction, it can be used to develop boron sheets (2D structure) or boron carbide or boron nitride (3D structure), and the deposit does not depend on the position or flat surface. It is known that it can perform deposits on silicon wires [116, 130]. Furthermore, high-energy boron ion implantation in diamond enhanced the concentration of active boron up to for CVD method 1021–1022 ion/cm3 to reach superconductor, while normally concentration of boron is around 1019 for boron ion implantation [30]. Therefore, a nanometer-thin boron amorphous layer can be created on the surface of crystalline silicon through a chemical vapor deposition (CVD) process in the temperature range from 700°C to 400°C [108].

Besides its promising advantages, introducing boron using CVD has a few shortcomings. Such as it is lack of a precursor that is highly volatile and, nontoxic and nonpyrophoric, it needs metal boride compounds that can form on the catalytic substrate and the toxicity of boron gas source that used must be concerned and controlled tightly. The boron will continue to diffuse at higher temperatures, so it must be suppressed [131]. The solid solubility of the dopant at operating temperature also becomes a shortcoming because it will be related to dopant concentration [132]. Unproperly removes oxide and boron-rich layer from the surface leading to poor surface passivation [113].

Advertisement

4. Doping boron in typical semiconductor materials

In semiconductor manufacture, boron doping is a crucial technique to introduce boron atoms into a semiconductor to modify its physical properties. There are intrinsic semiconductor materials, including silicon (Si),germanium (Ge), and compound semiconductors, which is combinations of elements such as group II–VI (ZnSe, ZnTe, CdS, CdTe), group IV-VI (PbS, PbSe, PbTe) of the periodic table, group III–V (AlN, GaAs, InGaN, InP, InGaAlP), or elements in the same group IV–IV (SiC, SiGe), other advanced materials including carbon nanotube, diamond, 2D materials (graphene, hexagonal boron nitride), etc. In intrinsic semiconductors, their atoms connect by sharing electrons to create stable covalent bonds. Generating conduction in a semiconductor requires energy to break the crystal bond and create conduction electrons moving around in a crystal and leaving holes. For example, silicon requires approximately 1.12 eV of energy to free an electron at room temperature. This energy is called bandgap energy or energy gap (Eg), which is necessary energy to excite an electron trapped in the valence band to the electrical conduction band. Silicon doped by boron is introduced a more significant number of conduction electrons and mobile holes that can lift the valence band close to the conduction band, decreasing the bandgap energy of boron-doped silicon to 0.045 eV [133]. The number of holes (positive charge carriers) rises with the increased amount of active boron concentration. In p-type semiconductors, the conduction is attributable to an enormous number of holes; therefore, holes and electrons are referred majority carriers and minority carriers, respectively.

The electrical conductivity of boron-doped silicon depends on the amount of boron and temperature. According to the calculation modeling of hole mobility on boron concentration of Masetti and coworkers, the hole mobility of boron-doped silicon can be estimated around 424–25 cm2/Vs, correlating with the range of 1014–1021 cm−3 for boron concentration. The higher the boron concentration, the lower the carrier mobility and resistivity [134]. Moreover, boron doping improves the hardness property of silicon, the hardness increases with increasing boron-doped concentrations. For example, the hardness at boron concentration of 1.3 × 1020 atoms/cm3 was 30% higher than that at 2.9 × 1017 atoms/cm3 [135]. The thermal conductivity of boron-doped silicon (with a B concentration of 5 × 1020 atom/cm3) was lower than undoped silicon at 300 K. Lee et al. found that the mass disorder effect is the main reason for the thermal transport suppression in boron-doped Si [136]. Like silicon, germanium (Ge) is an intrinsic semiconductor as silicon with a bandgap of 0.67 eV [137]. Introducing boron in Ge causes changes in electrical, mechanical, and thermal properties that are approximate to boron-doped silicon. The carrier mobility in boron-doped Ge monocrystals decreases with the increase of boron concentrations. The elasticity limit of Ge enhances after doping with low boron concentration. The mechanical property of boron-doped Ge at high boron concentration [138]. Si and Ge are primary materials for the semiconductor industry. Boron-doped Si and Ge show highly electrical conductivity that more effective for application in the electronic device fabrication including diodes [139], transistors [104], integrated chips/circuits [140], microcontrollers [141] and other applications for sensors [142, 143], light-emitting diodes (LEDs) [144], energy storage such as solar cells [145, 146, 147], photovoltaic devices [139, 148], capacitors [149], etc.

Boron doped in carbon nanotubes using CVD doping method that lowered HOMO-LUMO bandgap, featured for chemical reactivity and kinetic stability, of CNTs from 0.56 eV of original CNTs to Eg ~ 0.44 eV of B-CNTs after doping [150]. Introducing boron into CNTs increases the defects that break inertness and improves the reactivity in CNTs. The changes in electrical properties of CNTs varied depending on the boron concentrations. Yi and coworkers investigated that the acceptor state after doping boron was located at 0.16 eV above the Fermi energy for the ratio of B/C ~ 1/80 [151]. Boron doping improves the metallic property of CNTs. Moreover, the mechanical and thermal properties of CNTs were modified after doping with boron. The rupture stress of the B-CNTs was reduced compared with pristine CNTs, but at higher temperatures, B-CNTs showed drawbacks on maximum stress [152]. The thermal conductivity of B-CNTs depends on the temperature. At low temperatures, the thermal conductivity decreases with a rise in boron concentration in zigzag CNTs. However, the thermal transport enhances with increased boron concentrations at higher temperatures [153]. Boron-doped CNTs were applied in various application from hydrogen energy storage [154, 155, 156], catalysis [157], electrocatalysis [158], sensors [157, 159, 160].

Similarly, boron was introduced into graphene to modify its physical, chemical, mechanical, and electrical properties. The nature of graphene structure changes from ductile to brittle after being doped with boron. The thermal conductive property of graphene is reported to weaken after boron doping. Thermal conductivity dropped around 60% after introducing 0.75% boron concentration in graphene. Pristine graphene is a zero-gap semiconductor with semi-metallic property [161]. Boron-doped graphene monolayer shows a p-type semiconductor behavior with a high carrier mobility level of approximately 800 cm2/Vs at ambient temperature [162]. Wu et al. fabricated B-doped Graphene-based back-gate FETs with mobilities of 450–650 cm2/Vs [163]. Graphene doped with boron exhibits excellent electrochemical properties for diverse applications, including electrocatalysis [164], energy storage (batteries, supercapacitors) [165], sensors [166], and photovoltaics [167]. B-doped graphene can obtain a small band gap of 0.05 eV combined with n-type silicon to fabricate a p-n junction for solar cell application. The B-graphene/silicon-based solar cell showed a higher short-circuit current density of 18.8 mA/cm [168].

The sp3-hybridized diamond is an insulation material with a wide bandgap of 5.47 eV and extremely high resistivity of roundly 1012 Ω/cm. Doping with boron turns an insulative pure diamond into a conductive p-type semiconductor. After introducing boron into the diamond, the acceptor level is quite deep, around 0.37 eV above the valance band. Boron doped diamond shows high-level conductivity and enhanced electron transport compared to undoped diamond. The average boron doping level in diamond ranges from 1018 to 1020 atoms/cm3 [169, 170]. The hole mobility of boron-doped diamond was examined, reaching the maximum of about 2000 cm2/Vs at ambient temperature [170]. Heavy boron-doped diamond with a higher boron concentration of 1021–1023 atoms/cm3 for superconductivity can obtain at high pressure (105 atmospheres) and temperature (2500–2800 K) [171]. The sheet resistance of B-doped diamond was dropped from 1014 to about 1010 Ω/sq. [30]. Doping boron in diamond also changes its physical and mechanical properties. Similar to B-CNTs and B-doped graphene, B-doped diamond exhibits a comparable tendency in thermal conductivities [172]. The higher the boron-doped concentration, the weaker the thermal transport. The surface area of a diamond is larger after doped with boron. B-doped diamond is electrode material for numerous fields of electroanalysis [173], electrochemical energy storage [174, 175], and sensors [171, 176].

Apart from the above materials, doping boron is applied to improve the mechanical property of semiconductor compounds. Boron doping using ion implantation has been proven to change the roughness, hardness, stress/strain of materials, and other morphological characteristics of materials. The Zinc Selenide (ZnSe) thin films were implanted with boron ions at 75 keV and ranging in doses from 1012 to 1016 ions/cm2 in the research of Venkatachalam et al. that revealed the increase of film surface roughness and the decrease in the optical band gap value while increasing the dose of boron ions [177]. The hardness and elastic modulus of the hosts were also increased in some substrates of 60NiTi/NiTi after being doped by boron atoms [9, 178]. This is accounted for by replacing boron atoms in lattice matrix to create new nanocrystals, for example, TiB2 in B-doped 60NiTi [9]. In addition, a study by Zhu et al. proved boron ion implantation can enhance a hardening effect in the TiAlN. This hardening resulted from of the increase of excess stresses and the formation of new forms (TiB2 and BN nanocrystals) in the structure after the boron implants [179]. Similarly, boron ion implantation at 150 KeV and a fluence of 1 × 1015 ions/cm2 in hexagonal boron nitride (h-BN) induced the formation of c-BN nanocrystals due to the collisions of ions with the radical atoms and created the displacement of these atoms out from the lattice positions, which generated an atomic vacancy and temporary accumulation of defects in the interstitial site in h-BN. This increases the stress/strain level in h-BN and increases the electron density in the interatomic and interlayer places in the material [27]. Additionally, boron implants modified the structure of two-dimensional carbon-fiber-reinforced carbon-carbon (C/C) composites to generate the boron carbide composition, improving resistance during exposure to air at high temperature [180].

Advertisement

5. Conclusion and outlook

By doping with different atoms, materials can significantly improve stability or change their properties. Boron doping affects Si, Ge, graphene, boron nitride, etc., especially tuning their electrical properties effectively. With the advancement of the semiconductor device, 3D monolithic integration that employs multiple vertically stacked devices for higher device density appears to have lower power consumption and provides a platform for heterogeneous integration of different active semiconductor layer materials. Therefore, the ability to prepare devices with geometry design is highly desired, such as FinFET, Gate-all-around FET, and nanosheets FET. Proper doping techniques must be decided to dope such dimension channels with shallow junction formation uniformly. Herein, this chapter investigates currently available methods and compares their performance, as shown in Table 1. The monolayer layer doping appears to overcome the limitation of the ion implantation for their better conformal doping profile and capable shallow junction formation.

FeaturesIon implantationMonolayer dopingChemical vapor deposition doping
Profile depth (nm)<10 nm to 10 μ<100 nm<3000 nm
Boron concentration (cm−3)1013–10211017–1020 (decreasing from the surface)1015–1021
Boron activation (% in boron activation)80%91–54% of boron concentrationN/C
Sheet resistance (Ω/sq)<103103–3 × 104 (depending on B concentration)<105
AdvantagesEasy to tune the depth/ions selectionEasy to obtain ultra-shallow junctionFewer process steps than other methods
Nonsensitive to surface cleaning proceduresLarger scale fabrication, mass productionIn-situ doped Si/Ge
Higher doping efficiencySimple and affordable process
Good reproductivity on doping profileNo geometry limitations
Uniform doping layer
DisadvantagesSevere damage to substrate (amorphization), high diffusionLow doping efficiencyUsing toxic, pyrophoric gases
Expensive costs in equipment and operationDifficult control between diffusion depth and incorporationExpensive costs in equipment and operation
Hard to get ultra-shallow or deeper dopingSensitive to surface treatmentPoor surface passivation after doping
Geometry limitation (for the sidewall of fins)Potential contaminations of C/O elements
non-uniform doping layer (increased roundness)

Table 1.

Comparison of three different boron doping techniques.

Advertisement

Acknowledgments

This work was supported by I-Dream Grant (13111F1201) from Taiwan Semiconductor Research Institute (TSRI) and National Applied Research Laboratories (NARLabs).

References

  1. 1. Kim KS, Song YH, Park KT, Kurino H, Matsuura T, Hane K, et al. Novel doping technology for ultra-shallow junction fabrication: Boron diffusion from boron-adsorbed layer by rapid thermal annealing. Thin Solid Films. 2000;369(1):207-212
  2. 2. Pérez-Martín AMC, Jiménez-Rodríguez JJ, Jiménez-Sáez JC. Shallow boron dopant on silicon: An MD study. Applied Surface Science. 2004;234(1):228-233
  3. 3. Robertson LS, Brindos R, Jones KS, Law ME, Downey DF, Falk S, et al. The effect of impurities on diffusion and activation of ion implanted boron in silicon. Materials Research Society Symposium Proceedings. 2000;610:B5.8.1-B5.8.1.6
  4. 4. Michel AE, Kastl RH, Mader SR, Masters BJ, Gardner JA. Channeling in low energy boron ion implantation. Applied Physics Letters. 1984;44(4):404-406
  5. 5. Crowder BL, Ziegler JF, Cole GW. Ion implantation in semiconductors and other materials. In: 1st Ion Implantation in Semiconductors and Other Materials. New York: Plenum; 1973. 257 p
  6. 6. Plummer JD, Deal MD, Griffin PB. Silicon VLSI Technology. New Jersey: Prentice Hall; 2000
  7. 7. Ahmad I. Ion Implantation - Research and Application [Internet]. London: IntechOpen; 2017. 152 p
  8. 8. El-Kareh B. Ion implantation. In: Fundamentals of Semiconductor Processing Technology [Internet]. Boston, MA: Springer US; 1995. pp. 353-466. DOI: 10.1007/978-1-4615-2209-6_6
  9. 9. Yan C, Zeng Q , He W, Zhu J. Enhanced surface hardness and tribocorrosion performance of 60NiTi by boron ion implantation and post-annealing. Tribology International. 2021;155:106816
  10. 10. Adey J, Goss JP, Jones R, Briddon PR. Identification of boron clusters and boron-interstitial clusters in silicon. Physical Review B: Condensed Matter and Materials Physics. 2003;67(24):245325(1-5)
  11. 11. Hwang GS, Goddard WA. Catalytic role of boron atoms in self-interstitial clustering in Si. Applied Physics Letters. 2003;83(5):1047-1049
  12. 12. Bhattacharya P, Fornari R, Kamimura H. Comprehensive semiconductor science and technology. In: Comprehensive Semiconductor Science and Technology. Vol. 1-6. Amsterdam, London: Elsevier Science; 2011
  13. 13. Jang CW, Kim JH, Lee DH, Shin DH, Kim S, Choi SH, et al. Effect of stopping-layer-assisted boron-ion implantation on the electrical properties of graphene: Interplay between strain and charge doping. Carbon N Y. 2017;118:343-347
  14. 14. Michel AE, Rausch W, Ronsheim PA. Implantation damage and the anomalous transient diffusion of ion-implanted boron. Applied Physics Letters. 1987;51(7):487-489
  15. 15. Vos M, Boerma DO, Smulders PJM, Oosterhoff S. Defect and dopant depth profiles in boron-implanted silicon studied with channeling and nuclear reaction analysis. Nuclear Instruments and Methods in Physics Research B. 1986;17(3):234-241
  16. 16. Cristiano F. Ion Implantation-Induced extended defects: Structural investigations and impact on Ultra-Shallow Junction properties. Micro and nanotechnologies/Microelectronics. France: Paul Sabatier University; 2013. tel-00919958
  17. 17. Takeda S. An atomic model of electron-irradiation-induced defects on {113} in Si. Japanese Journal of Applied Physics. 1991;30(4):639-642
  18. 18. Ohring M. Materials Science of Thin Films. 2nd ed. San Diego: Academic Press; 2001
  19. 19. Jain SC, Schoenmaker W, Lindsay R, Stolk PA, Decoutere S, Willander M, et al. Transient enhanced diffusion of boron in Si. Journal of Applied Physics. 2002;91(11):8919-8941
  20. 20. Ynsa MD, Agulló-Rueda F, Gordillo N, Maira A, Moreno-Cerrada D, Ramos MA. Study of the effects of focused high-energy boron ion implantation in diamond. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms. 2017;404:207-210
  21. 21. Aprà P, Ripoll-Sau J, Manzano-Santamaría J, Munuera C, Forneris J, Ditalia Tchernij S, et al. Structural characterization of 8 MeV 11B implanted diamond. Diamond and Related Materials. 2020;104:107770
  22. 22. Uzan-Saguy C, Cytermann C, Brener R, Richter V, Shaanan M, Kalish R. Damage threshold for ion-beam induced graphitization of diamond. Applied Physics Letters. 1995;67(9):1194-1196
  23. 23. Mayer JW. Ion implantation. Lattice disorder. Radiation Effects. 1971;8(3-4):269-277
  24. 24. Shih Y, Washburn J, Gronsky R, Weber ER. Amorphization of silicon by boron ion implantation. Materials Research Society Symposia Proceedings. 1986;71:203-209
  25. 25. Ryssel H, Müller K, Haberger K, Henkelmann R, Jahnel F. High concentration effects of ion implanted boron in silicon. Applied Physics. 1980;22(1):35-38
  26. 26. Aboy M, Pelaz L, Barbolla J, Duffy R, Venezia VC. Boron activation and redistribution during thermal treatments after solid phase epitaxial regrowth. Materials Science and Engineering B: Solid-State Materials for Advanced Technology. 2005;124-125:205-209
  27. 27. Aradi E, Naidoo SR, Cummings F, Motochi I, Derry TE. Cross-sectional transmission electron microscopy studies of boron ion implantation in hexagonal boron nitride. Diamond and Related Materials. 2019;92:168-173
  28. 28. James WM, Lennart Eriksson JAD. Ion Implantation in Semiconductors. New York: Academic Press; 1971
  29. 29. Liu LJ, Hsu WH, Brumfield K, Padmanabhan R, Morinville W, Qin S, et al. Effects of implant temperature on process characteristics of low energy boron implanted silicon. In: AIP Conference Proceedings. 2012;1496:96-94
  30. 30. Seki Y, Hoshino Y, Nakata J. Remarkable p-type activation of heavily doped diamond accomplished by boron ion implantation at room temperature and subsequent annealing at relatively low temperatures of 1150 and 1300°C. Applied Physics Letters. 2019;115(7):072103(1-4)
  31. 31. Robertson LS, Jones KS, Rubin LM, Jackson J. Annealing kinetics of {311} defects and dislocation loops in the end-of-range damage region of ion implanted silicon. Journal of Applied Physics. 2000;87(6):2910-2913
  32. 32. Pan GZ, Tu KN, Prussin A. Size-distribution and annealing behavior of end-of-range dislocation loops in silicon-implanted silicon. Journal of Applied Physics. 1997;81(1):78-84
  33. 33. Lindfors CD, Jones KS, Rendon MJ. Boron solubility limits following low temperature solid phase epitaxial regrowth. Materials Research Society Symposium Proceedings. 2001;669:J8.5.1-6
  34. 34. Aboy M, Pelaz L, López P, Marqús LA, Duffy R, Venezia VC. Physical insight into boron activation and redistribution during annealing after low-temperature solid phase epitaxial regrowth. Applied Physics Letters. 2006;88(19):191917.1-6
  35. 35. Lindfors CD, Jones KS, Law ME, Downey DF, Murto RW. Boron activation during solid phase epitaxial regrowth. Materials Research Society Symposium Proceedings. 2000;610:B10.2.1-B10.2.6
  36. 36. Liang JH, Wang SC. Boron depth profiles and residual damage following rapid thermal annealing of low-temperature BSi molecular ion implantation in silicon. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms. 2007;261(1-2 SPEC. ISS):651-655
  37. 37. Chang RD, Lin JC, Lee BW. Initial activation behavior of boron at low temperatures with implantation doses below the amorphization threshold. Japanese Journal of Applied Physics. 2020;59(9):58-63
  38. 38. Caturla MJ, Johnson MD, Diaz De La Rubia T. The fraction of substitutional boron in silicon during ion implantation and thermal annealing. Applied Physics Letters. 1998;72(21):2736-2738
  39. 39. North JC, Gibson WM. Channeling study of boron-implanted silicon. Applied Physics Letters. 1970;16(3):126-129
  40. 40. Seidel TE, Mac Rae AU. Isothermal annealing of boron implanted silicon. Radiation Effects. 1971;7(1-2):142-144
  41. 41. Huang J, Fan D, Jaccodine RJ. Reverse annealing and low-temperature diffusion of boron in boron-implanted silicon. Journal of Applied Physics. 1988;63(11)
  42. 42. Uppal S, Willoughby AFW, Bonar JM, Evans AGR, Cowern NEB, Morris R, et al. Diffusion of ion-implanted boron in germanium. Journal of Applied Physics. 2001;90(8)4293-4295
  43. 43. Eaglesham DJ, Stolk PA, Gossmann HJ, Poate JM. Implantation and transient B diffusion in Si: The source of the interstitials. Applied Physics Letters. 1994;65(18):2305-2307
  44. 44. Stolk PA, Gossmann HJ, Eaglesham DJ, Poate JM. Implantation and transient boron diffusion: The role of the silicon self-interstitial. Nuclear Instruments and Methods in Physics Research B. 1995;96(1-2):187-195
  45. 45. Bracht H, Stolwijk NA, Laube M, Pensl G. Diffusion of boron in silicon carbide: Evidence for the kick-out mechanism. Applied Physics Letters. 2000;77(20):3188-3190
  46. 46. Sedgwick TO, Michel AE, Deline VR, Cohen SA, Lasky JB. Transient boron diffusion in ion-implanted crystalline and amorphous silicon. Journal of Applied Physics. 1988;63(5):1452-1463
  47. 47. Taylor M, Hurley K, Lee K, LeMere M, Opsal J, O’Brien T. Thermal-wave measurements of high-dose ion implantation. Nuclear Instruments and Methods in Physics Research B. 1991;55(1-4):3188-3190
  48. 48. Smith AK, Perloff DS, Edwards R, Kleppinger R, Rigik MD. The use of four-point probe sheet resistance measurements for characterizing low dose ion implantation. Nuclear Instruments and Methods in Physics Research B. 1985;6(1-2):382-388
  49. 49. Brahma S, Liu CW, Lo KY. The evolution of structure and defects in the implanted Si surface: Inspecting by reflective second harmonic generation. Applied Surface Science. 2016;388:517-523
  50. 50. Michel AE, Rausch W, Ronsheim PA, Kastl RH. Rapid annealing and the anomalous diffusion of ion implanted boron into silicon. Applied Physics Letters. 1987;50(7):851-853
  51. 51. Collart EJH. Characterization of low-energy (100 eV–10 keV) boron ion implantation. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 1998;16(1):280-285
  52. 52. White NR. Ion sources for use in ion implantation. Nuclear Instruments and Methods in Physics Research B. 1989;37-38(C):78-86
  53. 53. Chang FM, Wu ZZ, Lin YF, Kao LC, Wu CT, JangJian SK, et al. Damage and annealing recovery of boron-implanted ultra-shallow junction: The correlation between beam current and surface configuration. Applied Surface Science. 2018;433:160-165
  54. 54. Jung MYL, Gunawan R, Braatz RD, Seebauer EG. A simplified picture for transient enhanced diffusion of boron in silicon. Journal of the Electrochemical Society. 2004;151(1):G1-G7
  55. 55. Pawlak BJ, Duffy R, De Keersgieter A. Doping strategies for FinFETs. Materials Science Forum. 2008;573-574:333-338
  56. 56. Duffy R, Curatola G, Pawlak BJ, Doornbos G, van der Tak K, Breimer P, et al. Doping fin field-effect transistor sidewalls: Impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2008;26(1):402-407
  57. 57. Ye L, de Jong MP, Kudernac T, van der Wiel WG, Huskens J. Doping of semiconductors by molecular monolayers: Monolayer formation, dopant diffusion and applications. Materials Science in Semiconductor Processing. 2017;62:166-172
  58. 58. Ho JC, Yerushalmi R, Jacobson ZA, Fan Z, Alley RL, Javey A. Controlled nanoscale doping of semiconductors via molecular monolayers. Nature Materials. 2008;7(1):62-67
  59. 59. Ho JC, Yerushalmi R, Smith G, Majhi P, Bennett J, Halim J, et al. Wafer-scale, sub-5 nm junction formation by monolayer doping and conventional spike annealing. Nano Letters. 2009;9(2):725-730
  60. 60. Gao X, Kolevatov I, Chen K, Guan B, Mesli A, Monakhov E, et al. Full activation of boron in silicon doped by self-assembled molecular monolayers. ACS Applied Electronic Materials. 2020;2(1):268-274
  61. 61. Alphazan T, Mathey L, Schwarzwälder M, Lin TH, Rossini AJ, Wischert R, et al. Monolayer doping of silicon through grafting a tailored molecular phosphorus precursor onto oxide-passivated silicon surfaces. Chemistry of Materials. 2016;28(11):3634-3640
  62. 62. Ye L, Pujari SP, Zuilhof H, Kudernac T, De Jong MP, Van Der Wiel WG, et al. Controlling the dopant dose in silicon by mixed-monolayer doping. ACS Applied Materials & Interfaces. 2015;7(5):3231-3236
  63. 63. Shimizu Y, Takamizawa H, Inoue K, Yano F, Nagai Y, Lamagna L, et al. Behavior of phosphorous and contaminants from molecular doping combined with a conventional spike annealing method. Nanoscale. 2014;6(2):706-710
  64. 64. Packard G, Spaulding C, Taylor A, Hirschman K, Williams S, Kurinec S. Selective phosphorus doping of polycrystalline silicon on glass using self-assembled monolayer doping (MLD) and flash anneal. Materials Letters. 2021;305:130780(1-4)
  65. 65. Ye L, González-Campo A, Núñez R, De Jong MP, Kudernac T, Van Der Wiel WG, et al. Boosting the boron dopant level in monolayer doping by Carboranes. ACS Applied Materials & Interfaces. 2015;7(49):27357-27361
  66. 66. Ye L, González-Campo A, Kudernac T, Núñez R, De Jong M, Van der Wiel WG, et al. Monolayer contact doping from a silicon oxide source substrate. Langmuir. 2017;33(15):3635-3638
  67. 67. Hsu SH, Wan CC, Cho TC, Lee YJ. Investigation of boron distribution at the SiO2/Si Interface of monolayer doping. ACS. Omega. 2021;6(1):733-738
  68. 68. Tzaguy A, Karadan P, Killi K, Hazut O, Amit I, Rosenwaks Y, et al. Boron monolayer doping: Role of oxide capping layer, molecular fragmentation, and doping uniformity at the nanoscale. Adv mater. Interfaces. 2020;7(5):1902198(1-9)
  69. 69. Fu J, Chen K, Chang S, Zhi K, Gao X, Wei H, et al. Dopant activation and photoresponses of boron-doped silicon by self-assembled molecular monolayers. AIP Advances. 2019;9(12):125219(1-6)
  70. 70. Guan B, Siampour H, Fan Z, Wang S, Kong XY, Mesli A, et al. Nanoscale nitrogen doping in silicon by self-assembled monolayers. Scientific Reports. 2015;5:12641(1-9)
  71. 71. Yum JH, Shin HS, Hill R, Oh J, Lee HD, Mushinski RM, et al. A study of capping layers for sulfur monolayer doping on III-V junctions. Applied Physics Letters. 2012;101(25):253514(1-3)
  72. 72. Cho K, Ruebusch DJ, Lee MH, Moon JH, Ford AC, Kapadia R, et al. Molecular monolayers for conformal, nanoscale doping of InP nanopillar photovoltaics. Applied Physics Letters. 2011;98(20):203101(1-3)
  73. 73. Ho JC, Ford AC, Chueh YL, Leu PW, Ergen O, Takei K, et al. Nanoscale doping of InAs via sulfur monolayers. Applied Physics Letters. 2009;95(7):072108(1-3)
  74. 74. O’Connell J, Verni GA, Gangnaik A, Shayesteh M, Long B, Georgiev YM, et al. Organo-arsenic molecular layers on silicon for high-density doping. ACS Applied Materials & Interfaces. 2015;7(28):15514-15521
  75. 75. Popere BC, Russ B, Heitsch AT, Trefonas P, Segalman RA. Large-area, Nanometer-scale discrete doping of semiconductors via block copolymer self-assembly. Advanced Materials Interfaces. 2015;2(18):1500421(1-6)
  76. 76. Hazut O, Agarwala A, Amit I, Subramani T, Zaidiner S, Rosenwaks Y, et al. Contact doping of silicon wafers and nanostructures with phosphine oxide monolayers. ACS Nano. 2012;6(11):10311-10318
  77. 77. Hazut O, Agarwala A, Subramani T, Waichman S, Yerushalmi R. Monolayer contact doping of silicon surfaces and nanowires using organophosphorus compounds. Journal of Visualized Experiments. 2013;(82):e50770(1-5)
  78. 78. Mor GK, Jones D, Le TP, Shang Z, Weathers PJ, Woltermann MKB, et al. Contact doping with sub-monolayers of strong polyelectrolytes for organic photovoltaics. Advanced Energy Materials. 2014;4(13):1400439(1-6)
  79. 79. Hazut O, Huang BC, Pantzer A, Amit I, Rosenwaks Y, Kohn A, et al. Parallel p-n junctions across nanowires by one-step ex situ doping. ACS Nano. 2014;8(8):8357-8362
  80. 80. Hazut O, Yerushalmi R. Direct dopant patterning by a remote monolayer doping enabled by a monolayer fragmentation study. Langmuir. 2017;33(22):5371-5377
  81. 81. Park CJ, Jung SM, Kim JH, Kim IT, Shin MW. Effect of surface states on monolayer doping: Crystal orientations, crystallinities, and surface defects. Materials Science in Semiconductor Processing. 2018;82:67-74
  82. 82. Ter M-SL. Thin films including layers: Terminology in relation to their preparation and characterization (IUPAC recommendations 1994). Pure and Applied Chemistry. 1994;66(8):7-78
  83. 83. Schwartz DK. Mechanisms and kinetics of self-assembled monolayer formation. Annual Review of Physical Chemistry. 2001;52:107-137
  84. 84. Buriak JM. Organometallic chemistry on silicon surfaces: Formation of functional monolayers bound through Si-C bonds. Chemical Communications. 1999;12(12):1051-1060
  85. 85. Brzoska JB, Ben AI, Rondelez F. Silanization of solid substrates: A step toward reproducibility. Langmuir. 1994;10(11):4367-4373
  86. 86. Linford MR, Chidsey CED. Alkyl monolayers covalently bonded to silicon surfaces. Journal of the American Chemical Society. 1993;115:12631-12632
  87. 87. Effenberger F, Götz G, Bidlingmaier B, Wezstein M. Photoactivated preparation and patterning of self-assembled monolayers with 1-alkenes and aldehydes on silicon hydride surfaces. Angewandte Chemie International Edition. 1998;37(18):2462-2464
  88. 88. Sun QY, De Smet LCPM, Van Lagen B, Giesbers M, Thüne PC, Van Engelenburg J, et al. Covalently attached monolayers on crystalline hydrogen-terminated silicon: Extremely mild attachment by visible light. Journal of the American Chemical Society. 2005;127(8):2514-2523
  89. 89. Mischki TK, Donkers RL, Eves BJ, Lopinski GP, Wayner DDM. Reaction of alkenes with hydrogen-terminated and photooxidized silicon surfaces. A comparison of thermal and photochemical processes. Langmuir. 2006;22(20):8359-8365
  90. 90. Scheres L, Giesbers M, Zuilhof H. Self-assembly of organic monolayers onto hydrogen-terminated silicon: 1-alkynes are better than 1-alkenes. Langmuir. 2010;26(13):10924-10929
  91. 91. Cras JJ, Rowe-Taitt CA, Nivens DA, Ligler FS. Comparison of chemical cleaning methods of glass in preparation for silanization. Biosensors & Bioelectronics. 1999;14(8-9):683-688
  92. 92. De Vos WM, Cattoz B, Avery MP, Cosgrove T, Prescott SW. Adsorption and surfactant-mediated desorption of poly(vinylpyrrolidone) on plasma- and piranha-cleaned silica surfaces. Langmuir. 2014;30(28):8425-8431
  93. 93. MicroChemicals. Basics of microstructuring: Substrate preparation. Merck. 2021. Available at: www.microchemicals.com/downloads/application_notes.html
  94. 94. Singh M, Kaur N, Comini E. The role of self-assembled monolayers in electronic devices. Journal of Materials Chemistry C. 2020;8(12):3938-3955
  95. 95. Matinlinna JP, Lung CYK, Tsoi JKH. Silane adhesion mechanism in dental applications and surface treatments: A review. Dental Materials. 2018;34(1):13-28
  96. 96. Puglisi RA, Garozzo C, Bongiorno C, Di Franco S, Italia M, Mannino G, et al. Molecular doping applied to Si nanowires array based solar cells. Solar Energy Materials & Solar Cells. 2015;132:118-122
  97. 97. Veerbeek J, Ye L, Vijselaar W, Kudernac T, Van Der Wiel WG, Huskens J. Highly doped silicon nanowires by monolayer doping. Nanoscale. 2017;9(8):2836-2844
  98. 98. Gao X, Guan B, Mesli A, Chen K, Dan Y. Deep level transient spectroscopic investigation of phosphorus-doped silicon by self-assembled molecular monolayers. Nature Communications. 2018;9(1):118(1-10)
  99. 99. Barnett J, Hill R, Loh WY, Hobbs C, Majhi P, Jammy R. Advanced techniques for achieving ultra-shallow junctions in future CMOS devices. In: IWJT-2010: Extended Abstracts—2010 International Workshop on Junction Technology. New York: IEEE; 2010
  100. 100. Radamson HH, Zhang Y, He X, Cui H, Li J, Xiang J, et al. The challenges of advanced CMOS process from 2D to 3D. Applied Sciences. 2017;7(10):1047(1-32)
  101. 101. Ang KW, Barnett J, Loh WY, Huang J, Min BG, Hung PY, et al. 300 mm FinFET results utilizing conformal, damage free, ultra shallow junctions (X j∼5nm) formed with molecular monolayer doping technique. In: Technical Digest—International Electron Devices Meeting. IEDM. New York: IEEE; 2011
  102. 102. Lee YJ, Cho TC, Kao KH, Sung PJ, Hsueh FK, Huang PC, et al. A novel junctionless FinFET structure with sub-5nm shell doping profile by molecular monolayer doping and microwave annealing. In: Technical Digest—International Electron Devices Meeting. IEDM; 2015
  103. 103. Lee YJ, Cho TC, Sung PJ, Kao KH, Hsueh FK, Hou FJ, et al. High performance poly Si junctionless transistors with sub-5nm conformally doped layers by molecular monolayer doping and microwave incorporating CO2 laser annealing for 3D stacked ICs applications. In: Technical Digest—International Electron Devices Meeting. IEDM; New York: IEEE; 2015
  104. 104. Chun-Lin Chu, Guang-Li Luo, Dean Chou, Shu-Han Hsu. Demonstration of monolayer doping of the five-stacked Ge nanosheets field-effect transistor. ACS Applied Electronic Materials. 2022;4(7):3592-3597
  105. 105. Elbersen R, Vijselaar W, Tiggelaar RM, Gardeniers H, Huskens J. Fabrication and doping methods for silicon nano- and micropillar arrays for solar-cell applications: A review. Advanced Materials. 2015;27:6781-6796
  106. 106. Garozzo C, Bongiorno C, Di FS, Italia M, La MA, Scalese S, et al. Nanofabrication processes for innovative nanohole-based solar cells. Physica Status Solidi (A) Applications and Materials Science. 2013;210(8):1564-1570
  107. 107. Liu H, You CY, Li J, Galligan PR, You J, Liu Z, et al. Synthesis of hexagonal boron nitrides by chemical vapor deposition and their use as single photon emitters. Nano Materials Science. 2021;3(3):291-312
  108. 108. Mohammadi V, Nihtianov S, Fang C. A doping-less junction-formation mechanism between n-silicon and an atomically thin boron layer. Scientific Reports. 2017;7(1):1-10
  109. 109. Pearton S. Ediorial: Doped nanostructures. Nanoscale. 2010;2(7):1057
  110. 110. Imam M, Gaul K, Stegmüller A, Höglund C, Jensen J, Hultman L, et al. Gas phase chemical vapor deposition chemistry of triethylboron probed by boron-carbon thin film deposition and quantum chemical calculations. Journal of Materials Chemistry C. 2015;3(41):10898-10906
  111. 111. Sarubbi F, Scholtes TLM, Nanver LK. Chemical vapor deposition of α-boron layers on silicon for controlled nanometer-deep p + n junction formation. Journal of Electronic Materials. 2010;39(2):162-173
  112. 112. Mok KRC, Vlooswijk AHG, Mohammadi V, Nanver LK. Effects of annealing on chemical-vapor deposited PureB layers. ECS Journal of Solid State Science and Technology. 2013;2(9):P413-P417
  113. 113. Meier S, Lohmüller S, Mack S, Wolf A, Glunz SW. Control of boron diffusion from APCVD BSG layers by interface oxidation. AIP Conference Proceedings. 2018;1999(August 2018):070003(1-8)
  114. 114. Muroi M, Yamada A, Saito A, Habuka H. Deposition and etching behaviour of boron trichloride gas at silicon surface. Journal of Crystal Growth. 2020;529(July 2019):125301
  115. 115. Muroi M, Otani M, Habuka H. Boron-silicon film chemical vapor deposition using boron trichloride, dichlorosilane and monomethylsilane gases. ECS Journal of Solid State Science and Technology. 2021;10(6):064006
  116. 116. Taniguchi R, Inasawa S. Formation of boron-doped silicon wires and control of dopant concentration using zinc, SiCl4 and BCl3. Journal of Crystal Growth. 2020;547(May):125796
  117. 117. Mirabella S, De Salvador D, Napolitani E, Bruno E, Priolo F. Mechanisms of boron diffusion in silicon and germanium. Journal of Applied Physics. 2013;113(3):031101(1-21)
  118. 118. Chroneos A, Bracht H. Diffusion of n-type dopants in germanium. Applied Physics Review. 2014;1(1):011301(1-20)
  119. 119. Tu WH, Hsu SH, Liu CW. The pn junctions of epitaxial germanium on silicon by solid phase doping. IEEE Transactions on Electron Devices. 2014;61(7):2595-2598
  120. 120. Liu X, Nanver LK, Tom LM. Nanometer-thin pure boron layers as mask for silicon micromachining. Journal of Microelectromechanical Systems. 2017;26(6):1428-1434
  121. 121. Nadeem A, Maqsood MF, Raza MA, Ilyas MT, Iqbal MJ, Rehman ZU. Binder free boron nitride-based coatings deposited on mild steel by chemical vapour deposition: Anti-corrosion performance analysis. Physica B: Condensed Matter. 2021;602(March 2020):412600
  122. 122. Zou Y, Larsson K. Effect of boron doping on the CVD growth rate of diamond. Journal of Physical Chemistry C. 2016;120(19):10658-10666
  123. 123. Polushin NI, Laptev AI, Spitsyn BV, Alexenko AE, Polyansky AM, Maslov AL, et al. Deposition of boron-doped thin CVD diamond films from methane-triethyl borate-hydrogen gas mixture. PRO. 2020;8(6):666(1-13)
  124. 124. Sezer AO, Brand JI. Chemical vapor deposition of boron carbide. Materials Science & Engineering B: Solid-State Materials for Advanced Technology. 2001;79(3):191-202
  125. 125. Wang H, Yang H, Peng Y, Zheng Y, Huang D, Hu P, et al. Highly efficient synthesis of boron nitride nanotubes by catalytic chemical vapor deposition of boron/nickel containing precursors. Journal of Materials. 2022:1-6
  126. 126. Kendrick C, Kuo MW, Li J, Shen H, Mayer TS, Redwing JM. Uniform p-type doping of silicon nanowires synthesized via vapor-liquid-solid growth with silicon tetrachloride. Journal of Applied Physics. 2017;122(23):235101(1-7)
  127. 127. Creedon DL, Jiang Y, Ganesan K, Stacey A, Kageura T, Kawarada H, et al. Irradiation-induced modification of the superconducting properties of heavily-boron-doped diamond. Physical Review Applied. 2018;10(4):1
  128. 128. Terheiden B. CVD boron containing glasses—An attractive alternative diffusion source for high quality emitters and simplified processing—A review. Energy Procedia. 2016;92:486-492
  129. 129. Bogumilowicz Y, Hartmann JM. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers. Thin Solid Films. 2014;557:4-9
  130. 130. Niu JJ, Wang JN, Chen YX. Boron-doped silicon nano-wires. Materials Science & Engineering B: Solid-State Materials for Advanced Technology. 2007;139(1):95-98
  131. 131. El Mubarek HAW. Reduction of phosphorus diffusion in germanium by fluorine implantation. Journal of Applied Physics. 2013;114(22):535-537
  132. 132. Boureau V, Hartmann JM, Claverie A. Lattice contraction due to boron doping in silicon. Materials Science in Semiconductor Processing. 2018;87(July):65-68
  133. 133. Sze SM, Ng KK. Physics of semiconductor devices. Hoboken, New Jersey: John Wiley and Sons; 2006
  134. 134. Masetti G, Severi M, Solmi S. Modeling of carrier mobility against carrier concentration in arsenic-, phosphorus-, and boron-doped silicon. IEEE Transactions on Electron Devices. 1983;30(7):764-769
  135. 135. Yu G, Watanabe J, Izumi K, Nakashima K, Jimbo T, Umeno M. Mechanical property characterization of boron-doped silicon by Berkovich-type indenter. Japanese Journal of Applied Physics, Part 2: Letters. 2001;40:L183
  136. 136. Lee Y, Hwang GS. Mechanism of thermal conductivity suppression in doped silicon studied with nonequilibrium molecular dynamics. Physical Review B: Condensed Matter and Materials Physics. 2012;86(7):075202(1-6)
  137. 137. Martyniuk P, Kopytko M, Rogalski A. Barrier infrared detectors. Opto-Electronics Review. 2014;22:2
  138. 138. Chubinidze G, Kurashvili I, Bokuchava G, Chachkhiani Z, Darsavelidze G. Dynamical mechanical properties of boron-doped monocrystalline germanium. Bulletin of the Georgian National Academy of Sciences. 2012;6(2):89-93
  139. 139. Kim DK, Hong SB, Jeong K, Lee C, Kim H, Cho MH. P-N junction diode using plasma boron-doped black phosphorus for high-performance photovoltaic devices. ACS Nano. 2019;13(2):1683-1693
  140. 140. Zhao C, Xu B, Wang Z, Wang Z. Boron-doped III-V semiconductors for Si-based optoelectronic devices. Journal of Semiconductors. 2020;41:011301
  141. 141. Auden EC, Quinn HM, Wender SA, O’Donnell JM, Lisowski PW, George JS, et al. Thermal neutron-induced single-event upsets in microcontrollers containing boron-10. IEEE Transactions on Nuclear Science. 2020;67(1):29-37
  142. 142. Xu M, Feng Y, Han X, Ke X, Li G, Zeng Y, et al. Design and fabrication of an absolute pressure MEMS capacitance vacuum sensor based on silicon bonding technology. Vacuum. 2021;186:110065
  143. 143. Cui Y, Wei Q , Park H, Lieber CM. Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species. Science (80-). 2001;293(5533):1289-1892
  144. 144. Sobolev NA, Shtel’makh KF, Kalyadin AE, Aruev PN, Zabrodskiy VV, Shek EI, et al. Electroluminescence properties of LEDs based on electron-irradiated p-Si. Semiconductors. 2016;50(2):252-256
  145. 145. Fathi E, Vygranenko Y, Vieira M, Sazonov A. Boron-doped nanocrystalline silicon thin films for solar cells. Applied Surface Science. 2011;257(21):8901-8905
  146. 146. Vicari Stefani B, Kim M, Wright M, Soeriyadi A, Andronikov D, Nyapshaev I, et al. Stability study of silicon heterojunction solar cells fabricated with gallium- and boron-doped silicon wafers. Solar RRL. 2021;5(9):2100406
  147. 147. Hou C, Jia R, Tao K, Jiang S, Zhang P, Sun H, et al. Boron-rich layer removal and surface passivation of boron-doped p-n silicon solar cells. Journal of Semiconductors. 2018;39(12):122004
  148. 148. Saini V, Li Z, Bourdo S, Kunets VP, Trigwell S, Couraud A, et al. Photovoltaic devices based on high density boron-doped single-walled carbon nanotube/n-Si heterojunctions. Journal of Applied Physics. 2011;109(1):014321
  149. 149. Suliman SA, Venkataraman B, Wu CT, Ridley RS, Dolny GM, Awadelkarim OO, et al. Electrical properties of the gate oxide and its interface with Si in U-shaped trench MOS capacitors: The impact of polycrystalline Si doping and oxide composition. Solid State Electronics. 2003;47(5):899-905
  150. 150. Peng S, Cho K. Ab initio study of doped carbon nanotube sensors. Nano Letters. 2003;3(4):513-517
  151. 151. Yi JY, Bernholc J. Atomic structure and doping of microtubules. Physical Review B. 1993;47(3):1708-1711
  152. 152. Fakhrabadi MMS, Allahverdizadeh A, Norouzifard V, Dadashzadeh B. Effects of boron doping on mechanical properties and thermal conductivities of carbon nanotubes. Solid State Communications. 2012;152(21):1973-1979
  153. 153. Rezania H. The effect of boron doping on the thermal conductivity of zigzag carbon nanotubes. International Journal of Modern Physics B. 2015;29(5):1550025
  154. 154. Liu P, Liang J, Xue R, Du Q , Jiang M. Ruthenium decorated boron-doped carbon nanotube for hydrogen storage: A first-principle study. International Journal of Hydrogen Energy. 2019;44(51):27853-27861
  155. 155. Liu B, Sun X, Liao Z, Lu X, Zhang L, Hao GP. Nitrogen and boron doped carbon layer coated multiwall carbon nanotubes as high performance anode materials for lithium ion batteries. Scientific Reports. 2021;11(1):5633
  156. 156. Sawant SV, Yadav MD, Banerjee S, Patwardhan AW, Joshi JB, Dasgupta K. Hydrogen storage in boron-doped carbon nanotubes: Effect of dopant concentration. International Journal of Hydrogen Energy. 2021;46(79):39297-39314
  157. 157. Sawant SV, Patwardhan AW, Joshi JB, Dasgupta K. Boron doped carbon nanotubes: Synthesis, characterization and emerging applications—A review. Chemical Engineering Journal. 2022;427:131616
  158. 158. Pei Y, Song H, Liu Y, Cheng Y, Li W, Chen Y, et al. Boron–nitrogen-doped carbon dots on multi-walled carbon nanotubes for efficient electrocatalysis of oxygen reduction reactions. Journal of Colloid and Interface Science. 2021;600:865-871
  159. 159. Talla JA. First principles modeling of boron-doped carbon nanotube sensors. Physica B: Condensed Matter. 2012;407(6):966-970
  160. 160. Adjizian JJ, Leghrib R, Koos AA, Suarez-Martinez I, Crossley A, Wagner P, et al. Boron- and nitrogen-doped multi-wall carbon nanotubes for gas detection. Carbon N Y. 2014;66:662-673
  161. 161. Liang Q , Wei Y. Molecular dynamics study on the thermal conductivity and thermal rectification in graphene with geometric variations of doped boron. Physica B: Condensed Matter. 2014;437:36-40
  162. 162. Wang H, Zhou Y, Wu D, Liao L, Zhao S, Peng H, et al. Synthesis of boron-doped graphene monolayers using the sole solid feedstock by chemical vapor deposition. Small. 2013;9(8):1316-1320
  163. 163. Wu T, Shen H, Sun L, Cheng B, Liu B, Shen J. Nitrogen and boron doped monolayer graphene by chemical vapor deposition using polystyrene, urea and boric acid. New Journal of Chemistry. 2012;36(6):1385-1391
  164. 164. Fazio G, Ferrighi L, Di Valentin C. Boron-doped graphene as active electrocatalyst for oxygen reduction reaction at a fuel-cell cathode. Journal of Catalysis. 2014;318:203-210
  165. 165. Naresh V, Bhattacharjee U, Martha SK. Boron doped graphene nanosheets as negative electrode additive for high-performance lead-acid batteries and ultracapacitors. Journal of Alloys and Compounds. 2019;797:595-605
  166. 166. Srivastava S, Jain SK, Gupta G, Senguttuvan TD, Gupta BK. Boron-doped few-layer graphene nanosheet gas sensor for enhanced ammonia sensing at room temperature. RSC Advances. 2019;10(2):1007-1014
  167. 167. Agnoli S, Favaro M. Doping graphene with boron: A review of synthesis methods, physicochemical characterization, and emerging applications. Journal of Materials Chemistry A. 2016;4:5002-5025
  168. 168. Li X, Fan L, Li Z, Wang K, Zhong M, Wei J, et al. Boron doping of graphene for graphene-silicon p-n junction solar cells. Advanced Energy Materials. 2012;2(4):425-429
  169. 169. McCreery RL. Advanced carbon electrode materials for molecular electrochemistry. Chemical Reviews. 2008;108(7):2646-2687
  170. 170. Pernot J, Volpe PN, Omnès F, Muret P, Mortet V, Haenen K, et al. Hall hole mobility in boron-doped homoepitaxial diamond. Physical Review B: Condensed Matter and Materials Physics. 2010;81(20):205203(1-7)
  171. 171. Muzyka K, Sun J, Fereja TH, Lan Y, Zhang W, Xu G. Boron-doped diamond: Current progress and challenges in view of electroanalytical applications. Analytical Methods. 2019;11:397-414
  172. 172. Williams G, Calvo JA, Faili F, Dodson J, Obeloer T, Twitchen DJ. Thermal conductivity of electrically conductive highly boron doped diamond and its applications at high frequencies. In: Proceedings of the 17th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, ITherm 2018. New York: IEEE; 2018
  173. 173. Kondo T. Recent electroanalytical applications of boron-doped diamond electrodes. Current Opinion in Electrochemistry. 2022;32:100891
  174. 174. Wang J, He Z, Tan X, Wang T, He X, Zhang L, et al. Hybrid supercapacitors from porous boron-doped diamond with water-soluble redox electrolyte. Surface and Coatings Technology. 2020;398:126103
  175. 175. Kondo T, Kato T, Miyashita K, Aikawa T, Tojo T, Yuasa M. Boron-doped diamond powders for aqueous supercapacitors with high energy and high power density. Journal of the Electrochemical Society. 2019;166(8):A1425-A1431
  176. 176. Cobb SJ, Ayres ZJ, Macpherson JV. Boron doped diamond: A designer electrode material for the twenty-first century. Annual Review of Analytical Chemistry. 2018;11(1):463-484
  177. 177. Venkatachalam S, Kanno Y, Mangalaraj D, Narayandass SK. Effect of boron ion implantation on the structural, optical and electrical properties of ZnSe thin films. Physica B: Condensed Matter. 2007;390(1-2):71-78
  178. 178. Lee DH, Park B, Saxena A, Serene TP. Enhanced surface hardness by boron implantation in nitinol alloy. Journal of Endodontics. 1996;22(10):543-546
  179. 179. Zhu YC, Fujita K, Iwamoto N, Nagasaka H, Kataoka T. Influence of boron ion implantation on the wear resistance of TiAlN coatings. Surface and Coatings Technology. 2002;158-159:664-668
  180. 180. Zhu YC, Ohtani S, Sato Y, Iwamoto N. Influence of boron ion implantation on the oxidation behavior of CVD-SiC coated carbon-carbon composites. Carbon N Y. 2000;38(4):501-507

Written By

Linh Chi T. Cao, Luqman Hakim and Shu-Han Hsu

Submitted: 29 June 2022 Reviewed: 11 July 2022 Published: 12 August 2022