Open access peer-reviewed chapter

Polymer Resonant Waveguide Gratings

Written By

Muhammad Rizwan Saleem and Rizwan Ali

Submitted: 30 January 2018 Reviewed: 31 March 2018 Published: 05 November 2018

DOI: 10.5772/intechopen.76917

From the Edited Volume

Emerging Waveguide Technology

Edited by Kok Yeow You

Chapter metrics overview

1,370 Chapter Downloads

View Full Metrics

Abstract

This chapter deals with the advances in polymeric waveguide gratings for filtering and integrated optics applications. Optical polymer materials are widely used for planar and corrugated micro-optical waveguide grating structures ranging from down a micrometer to several hundred micrometers. Light in a polymeric waveguide is transmitted in discrete modes whose propagation orders depend on incident wavelength, waveguide dimensional parameters, and material properties. Diffracted optical structures are permittivity-modulated microstructures whose micro-relief surface profiles exhibit global/local periodicity. The resonant nature and location of such globally periodic structures (diffraction gratings) excite leaky waveguide modes which couple incident light into reflected/transmitted plane wave diffraction orders. It describes design & analysis, fabrication, and characterization of sub-wavelength polymer grating structures replicated in different polymeric materials (polycarbonate, cyclic olefin copolymer, Ormocomp) by a simple, cost-effective, accurate, and large scale production method. The master stamp (mold) for polymer replication is fabricated with an etchless process with smooth surface profile.

Keywords

  • resonant waveguide gratings
  • polymeric materials
  • nanoimprint lithography

1. Introduction

Conventional optical waveguides work on the principle to guide waves in a material surrounded by other material media, the refractive index of the material should be slightly higher than that of surrounded media such that light can bounce along the waveguide by means of total internal reflections at the boundaries between different media. The indefinite guiding progress the waves from successive boundaries which must interfere constructively to generate a continuous and stable interference pattern along the waveguide. If the interference pattern in not fully constructive, the waves cancel, owing to the self-destruction. The conventional optical waveguides are primarily the most common type of thin film optical filters used widely as narrowband filters in laser cavities, optical telecommunications, and light modulators [1]. However, the realization of sub-nanometer narrowband filters with thin film technique require hundreds of optical thin-films stack with stringent tolerances over thicknesses and refractive index variations [2]. Resonant Waveguide Gratings (RWGs) are a new class of narrowband filters and are widely used in applications such as polarizers [3], laser cavity reflectors [3, 4], light modulators [5], biosensors [6], and wavelength division multiplexing [7]. Such narrowband reflectance/transmittance spectral characteristics can be observed by sub-wavelength grating structures in terms of resonance anomalies [8, 9] with numerous potential applications. RWG operates with resonance effects with relatively simpler structure of few layers. Owing to the resonant nature of the sub-wavelength grating, the leaky modes are supported by the structure (waveguide layer and a grating layer) [10]. In the absence of the grating layer, waveguide layer supports a true bound mode. This bound mode becomes leaky when a grating layer is added with the waveguide layer. Eventually, optical energy is coupled out of the waveguide into radiation modes. On the other hand, the incident plane wave energy is coupled to the waveguide. The incident plane wave energy is coupled into leaky modes and then back to one or more radiation modes. This coupling mostly depends on the wavelength, angle of incidence and other structural parameters of the grating layer. At resonance a sharp peak in reflected/transmitted light might be observed at a specific combination of these parameters.

Large scale demands for cost-effective yet reliable and efficient photonic components have led many researchers to consider polymer materials. Polymeric materials become widely useful and increasingly attractive in the fabrication of various micro and nanostructures with the potential replacement of conventional inorganic materials such as SiO2 and LiNbO3 or semiconductors. Novel polymers have been introduced for replication of nanostructure RWG through inexpensive and mass-production process [11]. The polymeric materials offer high thermal expansion coefficient (almost an order of magnitude) in comparison to traditional inorganic materials as well as thermo-optic coefficient which enable them to use as fast rate switches. Investigations to fabricate RWG in polymeric materials have been actively pursued throughout over the past two decades [12, 13].

The capability to fabricate precise novel structures at micro to nanoscale with a wide variety of materials imposes great challenges to the advancement of nanotechnology and the nanosciences. The semiconductor industry continues pushing to lower structural size and to manufacture smaller transistors and high density integrated circuits. The demanding industrial processes through newly developed lithographic methodologies need to address some critical issues such as speed, reliability, overlay accuracy, etc. Many alternative approaches have been used to manufacture nanostructures in past two decades, despite of using expensive tools such as deep-UV projection lithography and electron beam lithography techniques. These techniques include micro-contact printing, scanning probe based techniques, dip-pen lithography, and Nanoimprint Lithography (NIL) [14]. NIL can not only fabricate nanostructures in resists but can also imprint functional devices in many polymers through ease and cost-effective processes in a number of applications such as photonics, data storage, biotechnology, and electronics [15].

In this chapter we present details of design, fabrication, and characterization of polymeric RWG employing affordable techniques and mass production processes. The fabrication of master stamp by electron beam lithography and subsequent replication in polymer materials by NIL is presented to target a number of applications in thermoplastics and UV curable polymers.

Advertisement

2. Theory of resonant waveguide gratings

The grating structure to enhance the resonance anomalies of a periodic profile (periodic modulation of refractive index) by coupling illuminating plane wave to the leaky modes of the waveguide of the grating is known as resonant waveguide gratings. Diffraction grating splits incident plane wave to propagate in different directions so-called diffraction orders. The periodic structure undergo complete interference and resonates with no transmission at a particular wavelength and incident angle [16]. As a result, light couples out of the waveguide, propagates up to smaller distances, and appears in the form of narrower reflectance peaks whose power varies from 0 to 100% over a range of structural parameters [10, 16, 17].

The propagation constant of a leaky mode is complex quantity and expressed as,

β=β0+,E1

where β0 and γ are pure real numbers while the imaginary part (γ) of the propagation constant represents the propagation loss of the leaky modes [18]. Generally, the spectral width of a resonance curve is proportional to this propagation loss and the full width at half maximum is approximated by.

λFWHM=λ0π,E2

where λ0 is the resonant wavelength, d is the periodicity.

In 1994, researchers used Effective Medium Theory (EMT) to model stratified media as a thin-film stack possessing some effective index. The attempts were made to achieve symmetric spectral response with low sidebands by varying thicknesses of thin-film stacks. This approach results in to design an effective thin-film layer to be antireflective at resonant wavelength [19, 20]. Several researchers considered thin-film model for the design of symmetric filters and suggested numerical solutions based on rigorous modeling methods [21]. To design grating layer, the effective index model was suggested for thin-film method [19]. In 1956, Rytov developed a transcendental equation based on EMT to correlate effective refractive index of a stratified medium to physical parameters and wavelength of light [22]. This equation can be applied to grating problems and written as for a TE-polarized light:

nH2neff21/2tanπnH2neff212×fdλ=nL2neff21/2tanπnL2neff212×1fdλ,E3

where nH, nL, neff are the high, low, and effective indices of the grating, respectively, f is the fill factor, d is the grating periodicity, and λ is the wavelength of the light. In the long wavelength limit dλ0, (Eq. (3)) can be solved in terms of an analytic relation:

neff=fnH2+1fnL21/2.E4

Eq. (3) is referred as the exact effective index model whereas (Eq. (4)) as zeroth order effective index model.

The fundamental structure of a RWG is shown in Figure 1. The waveguide grating consist of a substrate material with refractive index nt, a coupled grating layer with refractive index distribution n2(x) along x-direction and a superstrate layer (generally air) with refractive index ni. When light of wavelength λ illuminates the grating at an incident angle θi, it results in generation of various propagated diffraction orders through one-dimensional grating which can be calculated by fundamental grating equation, given as:

n2sinθm=nisinθin+mλd,E5

where λ is the wavelength, θin is the incident angle of light, d is the periodicity of the grating structure, θm is the diffraction order, m = 0, ±1, ±2, ±3,… is the index of diffraction order, ni, and n2 are the indices before and after the interface. For reflection gratings n2 is replaced by ni and for transmission gratings by nt.

Figure 1.

Schematic representation of resonant waveguide Grating’s structure with forward and backward propagated diffraction orders.

Narrow reflection or transmission peaks can be achieved by understanding the physics of the structure which depends on the excitation of leaky waveguide modes. Consider a reflection grating with periodicity smaller than the wavelength of light used to allow only zeroth-order diffraction under plane wave illumination as shown in Figure 2. The resulted reflecting fields from the gratings may be assumed to produce from two contributions, namely: a direct reflection and a scattered field reflection [22]. The inherent direct reflection from upper interface is primary reflection so-called Fresnel reflection whereas the secondary reflection from the grating structure is due to excitation and rescattering of leaky waveguide modes whose phase vary continuously to fulfill the coupling relation given below:

γ0=kx+n2πd,E6

where d is periodicity of the grating, γ0 is propagation constant of fundamental mode and kx is wave vector associated with the illuminating plane wave. At the resonance regime the rapidly varying phase of the secondary field with respect to the incident field (wave number) becomes similar in phase which gives rise resonance in the form of narrow reflected peak with wavelength or angle of incidence [23]. In Figure 1, the leaky waveguide modes in lateral direction are represented by propagation constant γ. Due to the leaky nature of propagated modes, they are shown to possess both real and imaginary parts and form a plane, so-called complexγ-plane. A leaky mode is described by a pole on this complex γ-plane. A planar waveguide supports at least one mode, the pole of which is represented by the real value on this γ-plane. Owing to the introduction of periodicity in the planar structure, such single mode splits into an infinite number of spatially diffracted orders whose poles are represented by complex values on this complex γ-plane with a separation of 2πd. Thus, the leaky waveguide modes are primarily associated with the periodicity of grating structure and much more closely spaced poles can be observed for sufficiently small periodic structure compared to incident wavelength. The magnitudes of real and imaginary parts of such complex poles show the extent of leaky modes excited by the input plane wave i.e., the coupling of the real part of modes (poles) with input filed and the associated coupling loss, respectively [24].

Figure 2.

Schematic view of RWG with refractive index distributions and coated high index cover layer.

Advertisement

3. Selection of polymer materials for optical waveguide

Polymeric materials have become potential candidate with versatility optical device performance and functionality. In comparison to inorganic materials, polymeric materials possess many attributable characteristics. The properties of polymer materials can be changed chemically after modifying the chemical structure of the monomers, polymer backbones, addition of functional groups or chromophores. Polymer materials can be made to manipulate easily by many conventional or unconventional fabrication methods such as reactive ion etching (dry etching), wet etching, soft lithography etc. [25]. Polymer materials offer a simple, low-cost, and reliable fabrication process irrespective to fragile silica or expensive semiconductor materials. Functional polymeric materials provide interesting properties for integrating several diversified materials with different functionalities.

Optical waveguide structures can be fabricated directly by electron beam lithography which is the most effective method to fabricate micro- and nanostructures [25]. Alternatively, soft lithography technique has been extensively developed during past 20 years and improving optical waveguide manufacturing by the use of a master stamp to generate several soft molds to reproduce its replicas [25, 26]. Figure 3 shows schematic of the originally NIL process proposed by Chao almost two decades before [27, 28]. The master stamp or mold containing nanoscale surface relief features is pressed against a polymeric material on a substrate with tightly controlled temperature and pressure to create a thickness contrast in polymer material. Furthermore, a thin residual layer is made beneath the stamp protrusions as a cushioning layer to protect nanoscale structure on mold surface from a direct impact of mold on the substrate. However, this residual layer can be removed at the end of the process by an anisotropic O2 plasma etching. Figure 3b and c shows Scanning Electron Microscopy (SEM) images of a mold with pillar array of diameter 10 nm and replicated hole array in poly (methyl methacrylate) (PMMA) [28].

Figure 3.

(a) Schematic representation of originally proposed NIL process by Chou. (b) Scanning Electron Microscopy (SEM) image of a mold possessing pillar array diameter of 10 nm. (c) Replicated structure of mold in PMMA polymer material with hole array of size 10 nm. Reproduced with permission from [27]. Copyright 1997, American Institute of Physics.

Advertisement

4. RWG modeling tool

In this chapter the RWG structures are designed and modeled using most efficient method which is based on the Fourier expansion, commonly known as Fourier Modal Method (FMM) or the coupled wave method (CWM) [29]. FMM determines eigen-solution values of Maxwell’s equations in a periodic or piecewise continuous medium by expanding the electromagnetic fields and permittivity functions to Fourier series and applying the boundary conditions to show fields inside the grating by an algebraic eigenvalue problem [30]. Employing FMM to periodic-modulated region, the modulated region sections in slabs where solution of Maxwell’s equations is determined at each slab. Such solutions appear in the form of forward and backward propagated fields consisting of modal fields. These fields are pseudoperiodic in nature and expressed in the e±iβz, where β is the eigenvalue of a mode. The eigenvalue problem is shown in matrix form which expresses a set of allowed β values and transverse field distributions for each polarization of light. The emerging fields from each slab are combined at each interface by applying boundary values. This computation shows an overall field inside the modulated region which is then matched with the fields in homogeneous regions surrounding the modulated region. At the end the problem is expressed in a matrix form to calculate complex transmission and reflection field amplitudes [31].

Advertisement

5. Cost-effective master stamp fabrication process by electron beam lithography (EBL) and hydrogen silsesquioxane (HSQ) resist

The properties of stamping material play a significant role in replication process to achieve a well-defined replicated features. In this section, patterns are defined on a resist material which is coated on a silicon substrate and written by electron beam lithography (EBL) without reactive ion etching (RIE) of silicon. Moreover, accurate control to pattern depth is challenging and inaccuracies in depth profiles are inherent with different width structures. Furthermore, the associated EBL proximity effect increases with the pattern depths and become more pronounced when beam size becomes comparable to the pattern size. The line edge variations occurred due to incomplete suppression of resist after development process resulted in polymer molecule agglomerate formation at pattern line edges [20]. Hydrogen silsesquioxane (HSQ) is a high resolution, inorganic, negative tone EB resist material with small linewidth variations in comparison to positive EB resists such as PMMA and ZEP. To fabricate structures with high resolutions, the molecular size of resist material need to be smaller than the nanoscale features to be replicated for which HSQ resist possesses dominating properties with slight line roughness and high etch resistance in addition [32].

In this Chapter we show replication of nanoscale structures in thermoplastic thin films and UV curable polymers by using an HSQ mold. The mold is fabricated by spin coating HSQ resist layer on silicon substrate, direct e-beam writing followed by development process without reactive ion etching. The HSQ resist thickness is adjusted to obtain structure design height h. Additionally, the formed structure is heat treated to improve mechanical properties of the resist for 180 min at 300°C temperature. Such thermal treatment improves density and hardness of HSQ resist to enable it for the use of hard stamp with high imprint pattern fidelity. The heat treated mold(s) are surface treated in nitrogen environment to deposit a silane layer to act as an antiadhesive layer for imprinting. Finally, the imprinted polymeric gratings with several periodicities are coated with high index amorphous TiO2 thin films by atomic layer deposition. Spectral characteristics of the replicated structures are investigated by a variable angle spectroscopic ellipsometer. Figure 4 depicts schematic representation of complete process flow of HSQ mold fabrication and imprinting into polymeric materials (thermoplastics and UV curable) with high index TiO2 thin layer.

Figure 4.

Schematic representation of fabrication and replication process of HSQ mold and polymeric binary grating structures with nanoscale surface-relief features. Reproduced with permission from [11]. Copyright 2012, SPIE.

Figure 5 shows SEM images of top view of HSQ resist molds (grating structures) at different magnifications on silicon substrate with period d of 325 nm. Figure 6a and b shows cross-sectional view of SEM images of HSQ binary molds with period 425 nm and Figure 6c and d with period 325 nm [33].

Figure 5.

SEM images of top view of HSQ resist master stamp on silicon substrate with periodicity (d = 325 nm) at different magnifications: (a) 100.00 KX, (b) 150.00 KX, (c) 200.00 KX, and (d) 250.00 KX.

Figure 6.

SEM images of cross-sectional view of HSQ resist master stamp on silicon with periodicities: (a and b) d = 425 nm and (c and d) d = 325 nm. Reproduced with permission from [33]. Copyright 2013, NUST.

Figure 7 shows imprinted sub-wavelength grating structures in thermoplastic and UV curable plastic materials by NIL tool. Figure 8 shows various thin films of amorphous TiO2 coated on polycarbonate, cyclic olefin copolymer and UV curable Ormocomp by atomic layer deposition as a waveguide layer [30]. The details of conformal growth of amorphous TiO2 thin films by atomic layer deposition is described in Refs. [34, 35].

Figure 7.

SEM images of cross-sectional view of imprinted structures in: (a and b) polycarbonate with period d = 368 nm, (c) cyclic olefin copolymer with period d = 325 nm and (d) UV curable material Ormocomp with period d = 325 nm. Reproduced with permission from [33]. Copyright 2013, NUST.

Figure 8.

SEM images of amorphous TiO2 coated replicated gratings: (a) polycarbonate with period d = 368 nm and TiO2 thickness t = 80 nm, (b) polycarbonate with period d = 368 nm and TiO2 thickness t = 60 nm, (c) cyclic olefin copolymer with period d = 325 nm and TiO2 thickness t = 50 nm, and (d) UV curable material Ormocomp with period d = 325 nm and TiO2 thickness t = 50 nm. Reproduced with permission from [33]. Copyright 2013, NUST.

Figure 9 shows the ellipsometric measurement setup when a linearly polarized plane wave (electric field vector is parallel called TE or perpendicular called TM to the grating lines) incident on the sample at an incident angle Φ with respect to normal of the RWG sample. The light-matter interaction results in specular reflectance/transmittance of the resonant gratings. The polarization state (TE or TM) of the illuminated light is selected by a polarizer stage which transforms the unpolarized light beam into a linearly polarized light beam. The polarization stage composed of a polarizer mounted on a continuously rotated stepper motor with high accuracy. The rotating polarizer changes the intensity of the light. The phase and amplitude of the modulated light represents the polarization state of the beam entering the analyzer/detector. In general, ellipsometer predicts the ellipticity of the polarization state of the light, optical constants (n and k) of optical materials, and the thickness of the thin film. The ellipsometric measurement uses two parameters which are connected by Eq. (7) [36].

tanψeiΔ=RpRs,E7

Figure 9.

Experimental setup of an ellipsometer to measure specular reflectance or transmittance.

Where Rp and Rs are the complex-amplitude reflectance coefficients for p- and s-polarization state of light, Ψ represents elliptical state of polarization and Δ is the relative phase of the vibrations along x- and y-directions which can vary from zero to 2π.

Advertisement

6. Results and discussion

Figure 10 shows designed and experimentally predicted spectral response (specular reflectance) of replicated grating structures in polycarbonate (PC), cyclic olefin copolymer (COC), and UV curable polymer Ormocomp [33]. The measured specular reflectance of PC, COC, and Ormocomp show reflectance peaks at 698.6 nm, 631.4 nm, and 630.4 nm with peak reflectance efficiencies 0.71, 0.94, and 0.65, respectively as shown in Figure 10d–f. The resonance peaks occur at different spectral positions with lower diffraction efficiencies than those calculated theoretically as shown in Figure 10a–c. The spectral shifts might occur due to inaccuracies in the dimensional profile of the replicated structures including rounding of grating edges rather completely rectangular as shown in ideal profile of Figures 1 and 2. The reduction of measured peak efficiencies are most likely caused by scattering of light from surface roughness, slight irregularities in the straightness of the grating lines, porosity and volume variations in polymers that cause refractive index changes in microscopic scale.

Figure 10.

Theoretically calculated and experimentally measured specular reflectance of replicated gratings with grating height h = 120 nm and TiO2 thickness t = 50 nm: a) polycarbonate with periodicity (d = 368 nm), b) cyclic olefin copolymer with periodicity (d = 325 nm), c) Ormocomp with periodicity (d = 325 nm); measured reflectance spectra of all three designed gratings: d) polycarbonate, e) cyclic olefin copolymer, and f) ormocomp. Reproduced with permission from [37]. Copyright 2013, Elsevier.

The observed variations may also be explained by molecular orientations of the polymer chains. The stress induction during mold filling may result in a partial orientation and configuration of polymeric chain along principal stress directions. Such molecular orientations may relax in thermal environment over a certain length of time. If however, temperature environment is kept constant, for example, for a UV curable material, the molecular orientations can be frozen up in the glassy state of the polymers. Such frozen-in-stresses in the newly molecular chain orientations may lead to generate an anisotropic behavior in the refractive index and cause peak shift.

Figure 11a and b shows specular reflectance of two designed replicated gratings in polycarbonate (with periodicities d = 425 nm and d = 368 nm), illuminated with TE-polarized light (electric field is parallel to grating lines) at three different angles of incidence (18°, 19°, and 20°) with Full Width Half Maximum (FWHM) of about 11 nm. Figure 11c and d shows measured spectral reflectance of designed gratings (with periodicities d = 425 nm and d = 368 nm) with FWHM of 13.5 nm and 11 nm, respectively. The experimentally predicted spectra is in close agreement to that of calculated, however, the wavelength shifts may be attributed due to slight variations of refractive indices of materials interacted with light. Figure 11e shows the simulated reflectance efficiency variations of two gratings with TiO2 thicknesses of 60 nm and 75 nm. Figure 10f shows experimentally measured spectral efficiencies verses calculated ones with two TiO2 thicknesses. Both experimentally measured and calculated spectra are in agreement, provided few spectral shifts occur due to reasons described above.

Figure 11.

Theoretically calculated specular reflectance at three illuminating angles of replicated gratings: (a) with d = 425 nm, (b) with d = 368 nm. Experimentally calculated specular reflectance at three illuminating angles of replicated gratings: (c) with d = 425 nm, (d) with d = 368 nm, (e) variation in simulated spectral reflectance as a function of TiO2 thickness t and wavelength of illuminating TE light, and (f) theoretically calculated and experimentally predicted specular reflectance as a function of wavelength. Blue curves show layer thickness t = 60 nm and brown curves t = 75 nm. Reproduced with permission from [11]. Copyright 2012, American Optical Society.

For replication by thermal NIL, the temperature of the polymer materials are raised above glass transition temperature (Tg) of polymers. At such a condition (T ˃ Tg), both Young’s modulus and viscosity of polymers reduce by several orders of magnitude in comparison to their values at room temperatures. Moreover, below Tg the value of Young’s modulus of glassy polymers remains constant for many polymers, approximately 3 × 109 Pa in comparison to their respective values at room temperature. In general practice, the temperature rise for thermal NIL is 60–90°C above Tg so that polymer transform into a viscous flow to fill micro and nanocavities, however, after imprinting process polymer is cooled down below Tg to preserve imprinted pattern. In fact, Tg is onset temperature for molecular motion in polymers. There are many factors which increase energy for molecular motion, such as, intermolecular forces, interchain steric hindrance (branching or cross-linking, bulky and stiff side groups). In some processes, it is desirable to use lower temperature values, which is then compensated by corresponding increase in the process pressure and time to obtain perfect imprinting. The requirement of high temperature and pressure for NIL process may restrict the production of NIL technology. Furthermore, the mismatch of thermal expansion coefficient between the mold material and substrate may impose limitations for pattern overlay for large substrates. Alternatively, liquid precursors having low Young’s modulus and viscosity can be cured by UV light at ambient temperatures. Due to low viscosity of the fluid the imprinting process is facilitated and minimize pattern density effects.

Advertisement

7. Conclusions

The replication of nanophotonic components with sub-wavelength features in polymeric materials is demonstrated and described as the most promising technology to produce narrow band-pass filters which are efficient, reliable, cost-effective, environmentally stable and effective at bulk scale production. Nanoimprint lithography is an economic process which initially requires the manufacturing of a master stamp (mold) which is fabricated commonly by EBL and reactive ion etching (RIE) processes. These processes enhance cost, inaccuracies and a reduction in efficiency and device performance. This work presented the manufacturing of master stamp by EBL using a negative tone binary electron beam resist HSQ without RIE process. The sub-wavelength replicated structures’ profile height was adjusted by the thickness of resist layer on silicon substrate by spin coating process. A direct pattern writing on HSQ resist was performed by EBL followed by development for sufficient time. The RIE process step was replaced by HSQ pattern resist heat treatment to improve the mechanical and physical properties such as hardness and density of HSQ resist, respectively. The simple etchless process of mold formation brings fast prototyping of nano-optical devices with rapid processing time and high pattern fidelity, superior optical performance and wide applicability to mass production.

In NIL two important steps performed are mold release and pattern transfer. The imprinting process lead strong adhesive forces between the mold and the resist at large contact area. A perfect mold release keeps both resist shape integrity and a complete mold-resist separation as well as suitable plasma-etching resistance for pattern transfer into substrate. This means, nanoimprint resists which give rise both mold-release, etch-resist properties and allow fast and precise nanoimprinting are highly desirable.

The replicated grating structures in polymer materials further coat by thin dielectric films of TiO2 as waveguide layer to support optical modes. Theoretically simulated results agree with the experimentally measured for the RWG in a number of polymers such as polycarbonate, cyclic olefin copolymer and Ormocomp.

Advertisement

Acknowledgments

The author is thankful to all professors, researchers, engineers, technicians, and students who contributed to the continuous development of various different processes of the nanofabrication at the Department of Physics and Mathematics, University of Eastern Finland, Joensuu, Finland. We are greatly thankful to HoD Prof. Dr. Seppo Honkanen for providing the financial fundings to publish this Chapter from Department of Physics and Mathematics, University of Eastern Finland under Project code 931351, which is highly appreciated. We greatly appreciate the editorial support from InTech in preparing this chapter. The chapter is dedicated to my Father-in Law Mr. Ehsan Elahi (late).

References

  1. 1. Macleod HA, editor. Thin-Film Optical Filters. New York: American Elsevier; 1969
  2. 2. Macleod HA. Challenges in the design and production of narrow-band filters for optical fiber communications. In: Fulton ML, editor. Optical and Infrared Thin Films, Proc. SPIE 4094. SPIE; 2000. pp. 46-57
  3. 3. Magnusson R, Wang SS. New principle for optical filters. Applied Physics Letters. 1992;61:1022-1024
  4. 4. Cox JA, Morgan RA, Wilke R, Ford CM. Guided-mode grating resonant filters for VCSEL applications. In: Cindrich I, Lee SH, editors. Diffractive and Holographic Device Technologies and Applications V, Proc. SPIE 3291. SPIE; 1998. pp. 70-76
  5. 5. Sharon A, Rosenblatt D, Friesem AA, Weber HG, Engel H, Steingrueber R. Light modulation with resonant grating-waveguide structures. Optics Letters. 1996;21:1564-1566
  6. 6. Norton SM. resonant grating structures: Theory, design, and applications [dissertation]. New York: University of Rochester, Rochester; 1997
  7. 7. Golubenko GA, Sychugov VA, Tishchenko AV. The phenomenon of full 'external' reflection of light from the surface of a corrugated dielectric waveguide and its use in narrowband filters. Soviet Physics/Lebedev Institute Reports. 1985;1(11):36-40
  8. 8. Wang SS, Magnusson R. Design of waveguide-grating filters with symmetrical line shapes and low sidebands. Optics Letters. 1994;19:919-921
  9. 9. Tibuleac S, Magnusson R. Reflection and transmission of guided-mode resonance filters. Journal of the Optical Society of America. 1997;14:1617-1626
  10. 10. Muhammad Rizwan Saleem. Resonant waveguide gratings by replication and atomic layer deposition [dissertation]. University of Eastern Finland, Joensuu, Finland: Publication of the University of Eastern Finland; 2012
  11. 11. Saleem MR, Stenberg PA, Khan MB, Khan ZM, Honkanen S, Turunen J. Hydrogen silsesquioxane resist stamp for replication of nanophotonic components in polymers. Journal of Micro/Nanolithography, MEMS, and MOEMS. 2012;11(1):013007
  12. 12. Mai X, Moshrefzadeh R, Gibstingson UJ, Stegeman GI, Seaton CT. Simple versatile method for fabricating guided-wave gratings. Applied Optics. 1985;24:3155-3156
  13. 13. Rochon P, Natansohmer A, Callender CL, Robitaille L. Guided mode resonance filters using polymer films. Applied Physics Letters. 1997;71:1008-1010
  14. 14. Byron D, Gates QX, Stewart M, Ryan D, Grant Willson C, Whitesides GM. New approaches to nanofabrication: Molding, printing, and other techniques. Chemical Reviews. 2005;105(4):1171-1196. DOI: 10.1021/cr030076o
  15. 15. Jay Guo L. Recent progress in nanoimprint technology and its application. Journal of Physics D. 2004;37(11):R123
  16. 16. Golubenko GA, Svakhin AS, Sychugov AV, Tishchenko AV. Total reflection of light from a corrugated surface of a dielectric waveguide. Soviet Journal of Quantum Electronics. 1985;15:886-887
  17. 17. Popov E, Mashev L, Maystre D. Theoretical study of the anomalies of coated dielectric gratings. Optica Acta. 1986;33:607-619
  18. 18. Thurman ST, Michael Morris G. Controlling the spectral response in guided-mode resonance filter design. Applied Optics. 2003;42(16):3225-3233
  19. 19. S.T. Thurman and G.M. Morris. Resonant-grating filter design: The appropriate effective-index model. In: Presented at the OSA Annual Meeting, Providence, R.I; 22-26 Oct; OSA; 2000
  20. 20. Hessel A, Oliner AA. A new theory of Wood's anomalies on optical gratings. Applied Optics. 1965;4:1275-1297
  21. 21. Hegedus Z, Netterfield R. Low sideband guided-mode resonant filters. Applied Optics. 2000;39:1469-1473
  22. 22. Rytov SM. Electromagnetic properties of a finally stratified medium. Soviet Physics, JETP. 1956;2:466-475
  23. 23. Rosenblatt D, Sharon A, Friesem AA. Resonant grating waveguide structures. Journal of Quantum Electronics. 1997;33:2038-2059
  24. 24. Norton SM, Erdogan T, Morris GM. Coupled-mode theory of resonant-grating filters. Journal of the Optical Society of America. A. 1997;14:629-639
  25. 25. Huang Y, Paloczi GT, Yariv A, Cheng Z, Dalton LR. Fabrication and replication of polymer integrated optical devices using electron-beam lithography and soft lithography. The Journal of Physical Chemistry. B. 2004;108:8606-8613
  26. 26. Eldada L, Shacklette LW. Advances in polymer integrated optics. IEEE Journal of Quantum Electronics. 2000;6(1):54-68
  27. 27. Chou SY, Krauss PR, Zhang W, Guo L, Zhuang L. Sub-10 nm imprinted lithography and applications. Journal of Vacuum Science and Technology B. 1997;15:2897. DOI: 10.1116/1.589752
  28. 28. Jay Guo L. Nanoimprint lithography: Methods and material requirements. Advanced Materials. 2007;19:495-513. DOI: 10.1002/adma.200600882
  29. 29. Moharam MG, Pommet DA, Gran EB. Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: Enhanced transmittance matrix approach. Journal of the Optical Society of America. A. 1995;12:1077-1086
  30. 30. Li L. Use of Fourier series in the analysis of discontinuous periodic structures. Journal of the Optical Society of America. A. 1996;13:1870-1876
  31. 31. Kim H, Park J, Lee B. Fourier Modal Method and its Applications in Computational Nanophotonics. Boca Raton: CRC Press; 2012
  32. 32. Namatsu H et al. Three dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations. Journal of Vacuum Science and Technology B. 1998;16(1):69
  33. 33. Saleem MR. Design, fabrication and analysis of photonic device nanostructures [dissertation]. Islamabad, Pakistan: National University of Sciences and Technology (NUST); 2013
  34. 34. Saleem MR, Silfsten P, Honkanen S, Turunen J. Thermal properties of TiO2 films grown by atomic layer deposition. Thin Solid Films. 2012;520:5442-5446
  35. 35. Saleem MR, Ali R, Honkanen S, Turunen J. Thermal properties of thin Al2O3 films and their barrier layer effect on thermo-optic properties of TiO2 films grown by atomic layer deposition. Thin Solid Films. 2013;542:257-262
  36. 36. Azzam RMA, Bashara NM. Ellipsometry and Polarized Light. Amsterdam: North Holland publishing Company; 1977
  37. 37. Saleem MR, Honkanen S, Turunen J. Thermo-optic coefficient of Ormocomp and comparison of polymer materials in athermal replicated subwavelength resonant waveguide gratings. Optics Communications. 2013;288:56-65

Written By

Muhammad Rizwan Saleem and Rizwan Ali

Submitted: 30 January 2018 Reviewed: 31 March 2018 Published: 05 November 2018