Open access peer-reviewed chapter

Fabrication and Replication of Periodic Nanopyramid Structures by Laser Interference Lithography and UV Nanoimprint Lithography for Solar Cells Applications

Written By

Amalraj Peter Amalathas and Maan M. Alkaisi

Submitted: 16 March 2017 Reviewed: 16 November 2017 Published: 02 May 2018

DOI: 10.5772/intechopen.72534

From the Edited Volume

Micro/Nanolithography - A Heuristic Aspect on the Enduring Technology

Edited by Jagannathan Thirumalai

Chapter metrics overview

1,743 Chapter Downloads

View Full Metrics

Abstract

In this chapter, the fabrication and replication of periodic nanopyramid structures suitable for antireflection and self-cleaning surfaces are presented. Laser interference lithography (LIL), dry etching, wet etching, and UV nanoimprint lithography (UV-NIL) are employed for the fabrication and replication of periodic nanopyramid structures. Inverted nanopyramid structures were fabricated on Si substrates by LIL and subsequent pattern transfer process using reactive ion etching, followed by potassium hydroxide (KOH) wet etching. The fabricated periodic inverted nanopyramid structures were utilized as a master mold for the nanoimprint process. The upright nanopyramid structures were patterned on the OrmoStamp-coated glass substrate with high fidelity in the first nanoimprint process. In the second nanoimprint process, inverted nanopyramid structures were replicated on the OrmoStamp-coated substrate using the fabricated upright nanopyramid glass substrate as a mold. The replicated inverted nanopyramid structure on resist-coated substrate was faithfully resolved with the high accuracy compared to original Si master mold down to nanometer scale. Both upright and inverted nanopyramid structures can be utilized as surface coatings for light trapping and self-cleaning applications for different types of solar cell and glass surfaces.

Keywords

  • nanopyramid
  • laser interference lithography
  • nanoimprint lithography
  • reactive ion etching
  • wet etching
  • SEM
  • AFM
  • thermal evaporation
  • oxidation
  • solar cells

1. Introduction

In the last few decades, nanostructure applications have attracted increasing interest in many fields ranging from nanoscale electronics to bionanotechnologies [1, 2, 3, 4]. Nanostructures can be fabricated by several techniques including electron beam lithography (EBL) [5], laser interference lithography (LIL) [6], focused ion beam lithography (FIB) [7], nanosphere lithography (NSL) [8], block copolymer lithography (BCPL) [9], and nanoimprint lithography (NIL) [10, 11].

NIL’s ability to provide high-resolution, high-throughput, low-cost, and highly repeatable patterning of nanoscale structures offers potential benefits to numerous electrical, optical, photonic, magnetic, and biological applications. These include hybrid plastic electronics [12], organic laser [13], organic light-emitting diode (OLED) pixels [14], nanoelectronics devices in Si [15], nanoscale protein patterning [16], high-density quantized magnetic disks [17], broadband polarizers [18], manipulating DNA in nanofluidic channels [19] and solar cells [20, 21, 22]. In this study, UV nanoimprint lithography (UV-NIL) process was mainly used to replicate nanopyramid structures for solar cell applications.

This chapter addresses the fabrication and replication of periodic nanopyramid structures by LIL and UV-NIL. Thus, the fabrication processes of the inverted nanopyramid structures on Si substrates by LIL and subsequent pattern transfer process using reactive ion etching (RIE), followed by potassium hydroxide (KOH) wet etching are presented in detail. The development of the UV-NIL and imprint processes for the replication of upright nanopyramid and inverted nanopyramid structures on glass substrates are also discussed in detail.

Advertisement

2. Fabrication of inverted nanopyramid structures

In this section, the fabrication process of periodic inverted nanopyramid structures is discussed step by step. In Section 2.1, the basic theory of LIL and the description of experimental setup details are presented. The design and preparation of the multilayer stack substrates for LIL are described in Section 2.2. In Section 2.3, the details of the single and double LIL exposure process are discussed. Cavity pattern transfer from the soft resist into thermal SiO2 hard mask layer using reactive ion etching and finally the formation of inverted nanopyramid structures on Si substrate using KOH wet etching are demonstrated in Section 2.4.

2.1. Lloyd’s mirror interference lithography

There are various types of interference lithography methods such as Mach-Zehnder interferometer [23], Lloyd’s mirror interference lithography (LIL) [24], and scanning beam interference lithography [25], used for different applications. In this work, LIL was utilized to obtain the periodic patterns on photoresist over a large area. The significant benefit of this method is that the period of the pattern can be organized more easily by rotating the stage compared with other two beam interference methods.

A schematic illustration of LIL optical setup is presented in Figure 1(a). A 50-mW HeCd laser with a coherence length of 30 cm at 325 nm was used as the light source in this work. A commercial spatial filter comprises a 5-μm diameter pinhole and a UV objective lens with a focal length of 5.77 mm, which removes the high-frequency noise of the laser to attain a clean Gaussian beam profile. The LIL consists of a substrate holder and an aluminum mirror, both placed perpendicular to each other onto the rotation stage, as shown in Figure 1(b). A UV-enhanced aluminum-coated mirror was used due to its enhanced reflectance in the UV region over a broad range of angles compared to other mirrors. The interference pattern could be disturbed by any vibrations. In order to suppress the vibrations, the complete optical setup was built on the actively damped table. The center of the sample holder and aluminum mirror was located on the optical axis of the laser beam. The coherent laser beam was generated by using the spatial filter at Lloyd’s mirror interferometer. A coherent beam illuminates both the mirror and the substrate. There are two parts of the expanded light, which strikes on the substrate. The part of the expanded light, which is directly illuminating the substrate, interferes with the part of the expanded light that is reflected from the mirror surface. This interference gives a periodic line pattern given by Eq. (1). The two-dimensional (2-D) arrays of dots, holes, and variations on them can be recorded with a substrate rotated by 90° during the second exposure. The structure period depends on the laser wavelength and the incident angle between the two beams. The periodicity, p, of horizontal standing wave interference pattern can be represented by Eq. [26]:

p=λ2sinθE1

where λis the laser wavelength and θ is the half angle between the incidence beams. The period of the pattern can easily be controlled by changing the angle θ which is equivalent to the rotation of the stage. The greatest advantage of Lloyd’s mirror is the ease of period control. However, the UV-enhanced aluminum-coated mirror’s quality (related to flatness and perfections) is a key factor that influences the quality of patterns.

Figure 1.

The schematic sketch of optical setup of LIL (a), with the detail of the rotation stage (b).

The main reason for the formation of an undesired pattern is the possible presence of the vertical standing wave interference. The primary standing wave formed parallel to the sample, whereas the second standing wave in the vertical direction formed perpendicular to the sample, caused by surface reflection as shown in Figure 2. This undesired standing wave is especially present in highly reflecting substrates such as metals or silicon.

Figure 2.

Primary and second standing waves formed parallel and perpendicular to the substrate by respective components.

The vertical standing wave period is influenced by the factors mentioned in Eq. (1) and the refractive index (n) of the photoresist layer. It is given by Eq. (2) [27]:

pvertical=λ2ncosθE2

The effect of the vertical standing wave can be reduced by decreasing the reflectivity at the interface. In order to prevent these reflections, an extra layer can be added underneath the photoresist layer. This layer should absorb the light and also reflect the light without phase component reflected from the surface. In general, an anti-reflection coating (ARC) is used to suppress the reflections at the interface. Hence, both the refractive index and the thickness of the ARC perform a vital role to cut off the vertical standing wave. To simplify the pattern transfer process, the thin interlayer between the ARC and the photoresist is also used.

2.2. Sample preparation

Silicon wafers were primarily used as samples for the LIL processes. A silicon oxide layer was thermally grown on the silicon wafer to act as a masking layer during the wet-etching process, whereas the second silicon oxide layer deposited on the interface between the ARC and photoresist layers using thermal evaporation method for further pattern transfer. The overall process steps involved in the typical sample stack preparation are shown in Figure 3 for LIL process.

Figure 3.

Schematic diagram of multilayer stack preparation process for LIL exposures.

2.2.1. Substrate selection and cleaning

Single-side-polished, Czochralski (CZ) grown, 350-μm thick, Boron-doped p-type silicon wafer with ⟨100⟩ crystal orientation and resistivity of 0.5–1.0 Ωcm was used as substrates. The wafer was immersed in a hot mixture of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2) (3:1 ratio by volume) for 10 min and rinsed with deionized (DI) water [28]. This cleaning procedure was used to remove the metals and organic contamination. Then, the naturally formed silicon oxide layer on the wafer was removed by immersing the wafer in diluted hydrofluoric (HF) acid with DI water (1:10) for 10 s. After that, the wafer was rinsed with DI water and finally blown with nitrogen gas.

2.2.2. Thermal silicon oxide layer formation

In order to make the inverted nanopyramid structures on Si, silicon oxide layer was used as a pattern transfer layer and a hard mask during the RIE etching and KOH wet etching without delamination, respectively. A 100-nm thick thermal oxide layer was grown on the cleaned Si wafer using quartz tube furnace. The process parameters are listed in Table 1. Oxygen gas was bubbled through the water at 95°C into the oxidation tube to perform the oxidation in a wet oxygen environment. The chemical reaction of the wet oxidation is given by

Sisolid+2H2OvaporSiO2solid+2H2E3
ParametersConditions
Temperature1000°C
Oxidant speciesWet O2
Film thickness100 nm
Oxidation time12 min

Table 1.

Oxidation process parameter.

2.2.3. Antireflection coating

The pattern results in the LIL process could be affected by the optical reflections at the interfaces due to the highly reflective silicon substrate. The ARC layer was utilized to reduce the negative effects of the undesirable optical reflections at the interfaces; details of LIL have already been discussed in Section 2.1. For this purpose, AZ BARLi II from MicroChemicals GmbH was used as an ARC resist for the interference lithographic exposures. It is designed to be used with positive photoresist without intermixing. An ARC (AZ BARLi II) 200-nm thick was deposited onto the thermal oxide Si substrate by spin coating at 2250 rpm for 60 s. The sample was then soft baked on a hot plate at 200°C for 60 s to drive the solvent away.

2.2.4. Evaporated silicon oxide mask layer

The sample preparation will vary depending on which pattern transfer method will be performed for the fabrication of final hard mold. A bilayer stack consisting of ARC at the bottom layer and photoresist at the top layer is enough for nickel electroplating method, but a trilayer stack with a thin SiO2 interlayer between ARC and photoresist is essential for pattern transfer. This layer is required to make subsequent reactive ion etching much easier for silicon mold fabrication. It solves selectivity problem between photoresist and ARC during O2 plasma etch for etching the ARC layer. The 50-nm SiO2 deposition onto the ARC layer was performed using vacuum thermal evaporation (Balzers BA510A). The base pressure of the chamber was in the range of about 3 × 10−6 mbar and the source material was heated by supplying a high current to the crucible through the molybdenum aluminum oxide boat.

2.2.5. Photoresist spinning

In this work, commercially available i-line-positive photoresist (AZMiR 701) was used to record the periodic fringe pattern during LIL process. The undiluted AZMiR 701 resist thickness in the normal spin coating produces thicker layers than the 200–400-nm thickness required. Hence, it was diluted in a ratio of 1:3 with PGMEA (1-methoxy-2-propyl-acetate) to achieve a lower resist thickness. In order to improve the adhesion between the photoresist and SiO2 layer, an adhesion promoter, hexamethyldisilazane (HMDS, [(CH3)3Si]2NH), was employed to deposit a monolayer on the sample surface. After the deposition of the evaporated SiO2 layer, hexamethyldisilazane was spun onto the substrate, and the 400-nm-diluted positive resist was immediately spin-coated with a 3000-rpm spinning speed for 60 s and soft cooked on a hot plate at 90°C for 1 min to remove any adsorbed moisture. After that, the prepared Si wafer was cut into 2 cm2 samples.

2.3. Pattern definition using LIL and development

Once the sample stack preparation was complete, Lloyd’s mirror setup was performed to pattern the photoresist. The necessary process steps to record the interference patterns were as follows: The prepared Si sample was fixed on the substrate holder rotation stage as shown in Figure 1. The rotation stage angle was set as calculated from Eq. (1) for the targeted pattern period. The sample was exposed for the required amount of time using a 50-mW HeCd laser beam operating at 325 nm. A time-controlled shutter was placed between the spatial filter and the rotation stage to control the exposure time during each exposure. The line pattern on photoresist was recorded by the single exposure. Holes, dots, and variations of patterns were recorded by a double exposure with a sample rotated by 90° after the first exposure.

After the exposure, the exposed sample was immersed and carefully agitated in diluted Microchemicals AZ MIF 326 developer solution for 30 s. At this stage, the exposed part of the photoresist was dissolved, leaving the required pattern on the photoresist. The sample was rinsed immediately with deionized water and finally blown with nitrogen gas. The developed samples were examined by scanning electron microscope (SEM). More details will be presented in Sections 2.3.1 and 2.3.2.

2.3.1. Single exposure pattern

For positive photoresist use in LIL, in a single exposure and development step, periodic line grating pattern will be produced. In this section, a significant parameter of the LIL process the so-called “duty-cycle” (DC), is presented in order to evaluate the exposure results. The DC is defined as the ratio of the pattern line width (Wline) generated by LIL to the period (Pgrating) of the pattern and is represented by Eq. (4). As described in Eq. (1), the period of the pattern relies on the laser wavelength and the laser beam incident angle

DutyCycleDC=WlinePgratingE4

In this case, the laser wavelength (325 nm) is a constant value. The pattern line width value could be modified by changing the DC value for a fixed period, which relies on the exposure dose. The exposure dose (D) in energy per unit area was calculated by multiplying the time of exposure (t) and the incident laser beam intensity (I0). It can be concluded that the exposure time and the intensity of the laser beam can impact the exposure results. The intensity of the incident laser light at a fixed incident angle could be considered as a constant value. Thus, the exposure dose is directly proportional to the exposure time. The DC value can be controlled by varying the exposure time at a fixed incident angle.

Figure 4 shows the SEM images of the 900-nm period line grating pattern with a different exposure time of 120, 240, and 360 s. In this case, the intensity of exposure (0.15 mW) and the rotating angle of the sample holder (θ=10°24) remained constant. As shown in Figure 4, the pattern line width/DC value decreased by increasing the exposure times at a fixed incident angle and intensity. Therefore, it can be concluded that the exposure time can impact the final pattern results at a fixed incident angle.

Figure 4.

SEM images of 900-nm period line grating pattern on photoresist with different line widths and exposure time: (a) 520 nm and 120 s, (b) 310 nm and 240 s, and (c) 250 nm and 360 s.

For LIL exposures for different periods, one cannot merely assume a constant exposure time, even under identical exposure conditions. As shown in Figure 5, the light density on the sample surface at a normal incidence is higher than that of oblique incidence due to increasing the exposed area at oblique incidence angles. As described in Eq. (1), the incident angle for a larger period is smaller than that for a smaller period. It can be deduced that longer exposure times are required for smaller structure period.

Figure 5.

Schematic illustration of the exposed area at (a) normal incidence and (b) oblique incidence for fixed laser intensity. The sample area illuminated by the beam is smaller at normal incidence.

In this work, well-ordered experiments were employed in order to find the relationship between the angle of incidence and exposure time. Figure 6 shows the resulting SEM images of line grating pattern having 900-, 700-, and 300-nm periods with the exposure time of 120, 150, and 270 s, respectively. In order to achieve periods of 900, 700, and 300 nm, the angles of incidence were adjusted to 10°24′, 13°25′, and 32°47′, respectively. The exposure time has to increase from 120 to 270 s by using a higher angle of incidence. Thus, larger incident angle (smaller period) requires longer exposure times.

Figure 6.

SEM images of line grating pattern on photoresist with different periods and exposure time: (a) 900 nm and 120 s, (b) 700 nm and 150 s, and (c) 300 nm and 270 s.

2.3.2. Double exposure pattern

For positive photoresist LIL system, after the double exposure and development, periodic holes or dots will be obtained. Figure 7 shows the developed pattern of resist holes and dots with a period of 700-nm square array. The patterns were formed by double exposure IL with the sample rotated by 90° between the two exposures. Exposure times of 70–120 s with a 10-s increment for each experiment were used. In order to achieve the period of 700 nm, the angle of incidence was adjusted to 13°25′. As explained in the previous section, exposure dose depends mainly on the exposure time for constant laser intensity at a fixed incident angle.

Figure 7.

SEM images of holes and dots pattern on photoresist produced by double exposure IL with a period of 700 nm and exposure times of (a) 70, (b) 80, (c) 90, (d) 100, (e) 110, and (f) 120 s for each exposure. The samples were rotated by 90° between the two exposures.

Even at the lowest exposure dose, a pattern of holes was formed as shown in Figure 7(a), although there was a little variation in the size of holes. With increasing exposure dose, the size of the holes increased and became more uniform as shown in Figure 7(b) and (c), then holes pattern vanished but some dots pattern still linked together as shown in Figure 7(d). The exposure dose further increases results in an isolated dot array as shown in Figure 7(f). It can be seen that exposure time can significantly impact the size and type of pattern structures. In order to fabricate the inverted nanopyramid on Si substrate, a pattern of resist holes is required for this task. More details about the pattern transfer and formation of inverted nanopyramid will be discussed in the next section.

2.4. Pattern transfer and formation of inverted pyramid

The periodic inverted nanopyramid structures were fabricated on Si substrate by laser interference lithography and subsequent pattern transfer process using reactive ion etching, followed by KOH wet etching. The schematic illustration of the fabrication process of inverted nanopyramid structure on Si substrate is shown in Figure 8. After the LIL patterning of photoresist, the resist pattern of holes was transferred onto the bottom SiO2 layer by a subsequent reactive ion etching process. Then, the inverted pyramid structures were formed by KOH wet etching. KOH has anisotropic etching profile with a selectivity of 400:1 to ⟨100⟩:⟨111⟩ orientations in silicon. Finally, the thermal oxide layer was removed by buffered hydrofluoric etching. More details of the fabrication process will be discussed in the next section.

Figure 8.

Schematic illustration of the fabrication process of inverted nanopyramid structures on an Si substrate.

2.4.1. Dry plasma etching

In this work, an Oxford PlasmaLab80 reactive ion etching system was utilized for all the dry plasma etching steps. The RIE etching process parameters such as flow rate of the processing gases, pressure, substrate temperature, RF power, and etching time were carefully optimized for the pattern transfer to form the master mold.

2.4.1.1. Pattern transfer into silicon oxide layer

The resist pattern produced by LIL served as the etching mask for the RIE pattern transfer step into the thermally evaporated silicon oxide layer. Generally, an ARC layer is etched slower than the photoresist layer. Hence, the resist pattern of holes produced by LIL cannot be directly transferred into the thermal oxide layer. The thin evaporated SiO2 layer was deposited between the ARC and the photoresist in order to get a high-etching selectivity. Before the SiO2-etching process, the residual photoresist at the bottom of the holes was removed using a little O2 plasma etching. The CHF3/Ar plasma etching was performed to transfer the pattern of holes onto the thin SiO2 interlayer. The RIE process parameters for O2 plasma for descumming the residual resist and transferring the pattern into an SiO2 layer using CHF3/Ar plasma is illustrated in Table 2.

RIE parametersRemoval of residual resist layerPattern transfer into SiO2 layer
GasO2CHF3/Ar
Flow rate10 sccm25/30 sccm
RF power100 W150 W
Pressure100 mTorr100 mTorr
Temperature295 K300 K
Time10 s1 min 30 s
Masking materialPhotoresist

Table 2.

RIE recipes for the removal of residual resist layer and transferring the holes pattern into the SiO2 layer.

The SEM image of the developed resist pattern of holes with a period of 650-nm square arrays is shown in Figure 9(a). In order to achieve a period of 650 nm, the angle of incidence was adjusted to 14°28′. Figure 9(b) displays an SEM image of the pattern of holes transferred into an SiO2-masking layer. It can be seen that the pattern uniformity remains very high.

Figure 9.

SEM images showing (a) the developed patterns on resist with a period of 650 nm and (b) patterns transferred into SiO2-masking layer after CHF3/Ar plasma etching.

2.4.1.2. Pattern transfer into ARC layer

The SiO2 interlayer acted as a masking layer to transfer the holes pattern into the ARC layer. The O2 plasma etching is performed to transfer the pattern into ARC layer. Table 3 outlines the optimized RIE parameters of O2 plasma etching for transferring the patterns into ARC layer. Figure 10 shows the SEM image of patterned ARC layer after the O2 plasma etching.

RIE parametersTransferring the pattern into ARC layer
GasO2
Flow rate10 sccm
RF power100 W
Pressure100 mTorr
Temperature300 K
Time13 min 30 s
Masking materialSiO2 layer

Table 3.

The optimized O2 plasma RIE parameters for pattern transfer into ARC layer.

Figure 10.

SEM images of patterns transferred into ARC layer after O2 plasma etching.

2.4.1.3. Pattern transfer into thermal oxide layer

The patterned ARC layer served as a masking layer to transfer the holes structure into a thermal SiO2 bottom layer. Then, CHF3/Ar plasma etching should be performed to transfer the pattern into ARC layer. Table 4 shows the optimized RIE parameters for CHF3/Ar plasma etching for transferring the patterns into an SiO2 bottom layer. Figure 11 shows the SEM image of patterned SiO2 bottom layer after the CHF3/Ar plasma etching. It can be observed that the RIE-etching process induced a slight enlargement of holes diameter while the uniformity was improved.

RIE parametersTransferring the pattern into SiO2 bottom layer
GasCHF3/Ar
Flow rate25/30 sccm
RF power150 W
Pressure100 mTorr
Temperature300 K
Time3 min 30 s
Masking materialARC layer

Table 4.

The optimized CHF3/Ar plasma RIE parameters for pattern transfer into the thermal SiO2 bottom layer.

Figure 11.

SEM images of patterns transferred into SiO2 layer after CHF3/Ar plasma etching.

2.4.2. Wet chemical anisotropic etching

After the RIE process, the next process step was anisotropic wet chemical etching in order to form inverted pyramid structures into the silicon substrate. The anisotropic KOH chemical etching characteristic of single crystal silicon substrate varies according to the crystallographic orientation of the substrate bulk material. Anisotropic etchants such as potassium hydroxide, sodium hydroxide (NaOH), cesium hydroxide (CsOH), ammonium hydroxide (NH4OH), tetramethylammonium hydroxide (TMAH), and hydrazine etch specific crystallographic planes at different etch rates. The etch rate of the (111) plane is significantly low compared to the (100) plane etch rate mainly due to some dangling bonds in each unit cell [29]. The (111) plane is denser and has the lowest dangling bond; more back bonds must be broken and therefore the etch rate is low. The etch rates for anisotropic wet chemical etchants are mainly dependent on the concentration of the solution and temperature. As schematically illustrated in Figure 12, the inverted nanopyramid structure could be produced with ⟨100⟩ crystalline orientation of silicon substrate using anisotropic wet etching. Anisotropic etchants make an angle of 54.7° for the ⟨100⟩-oriented Si substrate, which is the angle between (100) and (111) planes.

Figure 12.

An anisotropic wet etch on a (100) silicon substrate creates inverted nanopyramid structure.

The chemical etchant for anisotropic wet etching used in this work is typically a 30 wt.% KOH diluted in deionized water. SiO2 was chosen as a hard mask layer mainly due to the high-etch selectivity of KOH solution between Si and SiO2 about 100:1. The inverted pyramid structure is formed on an (100) Si substrate by wet etching in 30% KOH in deionized water solution at 80°C for 170 s. The KOH solution was maintained in a temperature-controlled bath at 80°C. Figure 13 shows the SEM image of the resulting inverted nanopyramid structure having a width of about 600 nm and separation of about 100 nm, obtained from the same sample as in Figure 9.

Figure 13.

SEM images of inverted nanopyramid structures on Si substrate with SiO2-masking layer after KOH wet etching.

2.4.3. Removal of silicon oxide mask layer

There were at least two techniques available for the removable of the SiO2 mask layer, wet etching using buffered HF solution or dry etching using CHF3/Ar plasma. The wet etching process was used in this work to avoid increased surface roughness or any surface damage. To remove the SiO2 mask layer from the patterned substrate, the substrate was immersed in buffered HF (6:1 volume ratio of NH4F solution to 49% HF) for 3 min, washed with DI water, and blown dry with N2. The SEM image of the formed periodic inverted nanopyramid structures on Si substrate after removal of the SiO2-masking layer is shown in Figure 14. It can be seen that all the inverted pyramid structures were completely formed and centered without showing any overlapping between neighbors. It can also be observed that the size of the inverted pyramid increased slightly compared with a diameter of the nanoholes as in Figure 13 due to undercutting during KOH wet etching. The fabricated inverted nanopyramid structures on Si Substrate were utilized as a master mold in the nanoimprint replication process. The replication process of the inverted nanopyramid structures will be introduced in Section 3.

Figure 14.

SEM images of inverted nanopyramid structures on Si substrate after removal of the SiO2-masking layer.

Advertisement

3. UV nanoimprint lithography: replication of nanopyramid structures

Nanoimprint lithography was first proposed and demonstrated by Chou et al. in 1995 alternative to e-beam lithography and photolithography as a low-cost and high-throughput technique [30]. NIL can generally be classified into two fundamental categories: hot-embossing lithography (HEL) also known as thermal nanoimprint lithography (TNIL) and UV-NIL. Currently, many different kinds of lithographic methods were established based on NIL, typical examples include roll-to-roll imprint lithography [31], step-and-flash imprint lithography (SFIL) [32], laser-assisted NIL [33], microcontact printing [34], reverse imprint lithography [35], and step-and-stamp imprint lithography (SSIL) [36].

NIL is based on the mechanical deformation of the resist using a mold consisting of micro- or nanostructures by UV-curing process or thermomechanical process. The UV-NIL method has several benefits compared to the thermal NIL, which includes the capability of UV-NIL to be performed at room temperature. The imprinting process at room temperature eliminates the problems resulting from thermal expansion mismatch between the sample, resist, and mold [37]. Moreover, the UV-NIL-imprinting process contains a lower viscosity of the photoresist, which permits the imprinting process to be performed at a lower pressure in comparison with thermal NIL [38, 39]. The cycle time of the UV-NIL process is shorter than the thermal NIL due to the elimination of temperature cycle, which improves the process throughput [40]. However, the UV-NIL process requires either a transparent substrate or a transparent mold. In this chapter, UV-NIL process was mainly used to replicate nanopyramid structures for solar cell applications.

In this section, the development of the ultraviolet nanoimprint lithography and imprint processes for the replication of upright nanopyramid and inverted nanopyramid structures are presented. First, the sample preparation processes for UV-NIL are introduced in Section 3.1. Then, imprint processes for the replication of upright nanopyramid and inverted nanopyramid structures and patterns analysis are described in Section 3.2.

3.1. Preparation for imprint process

The materials required for UV-NIL are a mold consisting of the fabricated nanostructures and an appropriate UV-curable resist that could be deformed and hardened to reproduce the shape of the structures. In this section, the anti-sticking layer preparation of the master mold and UV-curable resist material preparation on a glass substrate for imprint process are presented.

3.1.1. Master mold fabrication

The mold is one of the essential components of the UV-NIL process as it contains the pattern information and details. The solid materials with a high hardness and durability properties can be used as a mold to NIL. A variety of materials including silicon, silicon nitride, silicon dioxide, quartz, glass, nickel, and so on have been used to make molds to NIL. In this chapter, the periodic inverted nanopyramid structure on the silicon substrate was used as a master mold substrate for the imprint process. The periodic inverted nanopyramid structures were fabricated on Si substrate by LIL and subsequent pattern transfer process using reactive ion etching, followed by KOH wet etching. The details of the fabrication process of inverted nanopyramid structures are described in Section 2.

3.1.2. Antisticking layer treatment of mold

A master mold surface to NIL has a high density of nanoscale structures, which efficiently enhances the surface area. The mold with increased surface area contacts with the imprinted resist, leading to high adhesion of the mold to the resist. This adhesive effect could be observed by the sticking of the imprinted resist to the mold without any anti-sticking surface treatment. The anti-sticking treatment for NIL molds eliminates the adhesion between the mold and imprint resists which enhances the qualities of the imprint. Moreover, it also improves the mold lifetime remarkably by avoiding surface damage and contamination. Hence, it is necessary to deposit an anti-sticking layer directly onto the master mold before the imprint process. The SAM coating with low-surface energies such as silane materials [41] and Teflon [42] has been reported as an anti-sticking layer to enhance the demolding abilities and to enhance the mold lifetime. The most widely used anti-sticking layer approach is a SAM of a fluorosilane release agent by either a vapor phase or a solution phase deposition.

In this work, a 1H, 1H, 2H, 2H–perfluorooctyl-trichlorosilane (F13-TCS) solution was utilized as an anti-adhesive coating on the mold for NIL. An anti-sticking coating was formed on the mold surface with F13-TCS agent via a vapor deposition method inside the desiccator at room temperature. The mold was cooked in the oven at 90°C for 30 min to completely dehydrate the mold surface and then cooled down to room temperature. A few drops of the F13-OTCS solution were added to a small Petri dish which was loaded with mold into a vacuum desiccator as shown in Figure 15. The substrate was left to react for 2 h at room temperature, then removed from the desiccator and baked in the oven at 90°C for 1 h.

Figure 15.

Schematic representation of the anti-adhesive coating using a vapor deposition method of F13-TCS in a vacuum desiccator.

Prior to the imprint process, the contact angle measurements were performed on the inverted nanopyramid-textured Si substrates before and after the anti-sticking layer treatment to quantify the variations of the surface energies. The contact angle of inverted nanopyramid-textured Si surfaces before and after an anti-sticking layer treatment is shown in Figure 16. The contact angle of the inverted nanopyramid-textured Si surface was increased from 87 to 122° after coated with an anti-sticking layer of F13-TCS which is highly hydrophobic. It can be clearly seen that F13-TCS SAM coating was enhanced by the anti-adhesive properties on the inverted nanopyramid-textured Si substrate which is crucial for the imprinting process.

Figure 16.

The contact angles on the surface of inverted nanopyramid-structured Si substrate before and after the anti-sticking layer treatment.

3.1.3. Substrate preparation

The low iron glass with a thickness of 0.5 mm was used as a substrate for UV-curable resist. The UV-curable resist plays a vital part in the efficient nanoimprint process where sticking properties between the mold and UV curable polymer should be as low as possible, whereas the sample and resist should be high [40]. The resist that was used in this work was OrmoStamp from Micro Resist Technology, which is UV-curable resist with high transparency for UV and visible light designed for UV imprinting or molding.

The OrmoStamp resist must have a strong interfacial bonding to the substrate, but not stick to the surface of the mold in the imprint process [43]. In order to obtain a strong adhesion between the glass substrate and resist, the cleaning process with oxygen plasma treatment was performed, and also adhesion promoter was added on the glass substrate prior to the resist spin coating. The OrmoPrime08 from micro resist technology was used as an adhesion promoter solution based on organofunctional silanes. It has been designed to promote the adhesion of OrmoStamp, OrmoComp, OrmoClear, OrmoCore, and OrmoClad to various substrates like silicon, glass, and quartz.

The glass substrate was cleaned with acetone, methanol, and isopropyl alcohol (IPA) solvents in an ultrasonic bath and then rinsed with deionized water and finally dried with nitrogen gas. Next, the substrate surface was treated with a short time O2 plasma to enhance optimum adhesion between the OrmoPrime08 and glass interface. After that, the substrate was baked using an oven at 200°C for 30 min and cooled down to room temperature immediately before coating. OrmoPrime08 was deposited onto the glass substrate by spin coating at a 4000 rpm spinning speed for 60 s. The spin-coated film was then baked on a hot plate at 150°C for 5 min and cooled down to room temperature. Finally, OrmoStamp resist was spin-coated onto the OrmoPrime08 layer-coated substrate with a 6000-rpm spinning speed for 60 s. After spin coating, the substrate coated with resist was thermally prebaked on a hot plate at 80°C for 2 min to enhance the uniformity of the resist thickness and to increase the adhesion between the resist and the substrate.

3.2. Nanoimprint process

In this work, two vacuum-operated in-house built imprint tools were utilized to perform the imprint experiments on 20 × 20 mm2 and 10 × 10 mm2 samples, respectively. It creates a vacuum region between the resist and the mold to decrease the air bubbles surrounded in between them during the imprint process.

Figure 17(a) and (b) show the schematic cross-sectional view and the optical image of the imprint tool used in this work, respectively. The Mask Aligner (MA-6) exposure system was attached to this imprint tool to perform as a UV-NIL tool. The Mask Aligner system uses i-line 365-nm wavelength UV source for exposure. The Mask Aligner vacuum system is linked to the imprint tool that is used to hold the mask onto the imprint holder. The 365-nm wavelength UV illuminations with a vacuum pressure of 4 mbar were employed to perform the imprint process.

Figure 17.

The vacuum-operated in-house built imprint tool utilized for UV-NIL.

In order to replicate the original master mold, two subsequent imprint processes need to be applied. In this work, periodic inverted nanopyramid-textured Si substrate was used as a master mold. In the first step of the imprint process, the negative of the pattern on the master mold was replicated from Si master mold onto resist-coated glass substrate, that is, inverted nanopyramid structures on Si master mold become upright nanopyramid structures on resist-coated glass substrate. In the second step of imprint process, the inverted nanopyramid structures were replicated on resist using upright nanopyramid-patterned glass substrate as a master stamp. Figure 18 shows the atomic force microscopy (AFM) images and cross-sectional traces of periodic inverted nanopyramid structures on Si. The periodic inverted nanopyramid structures have features with a width of about 450 nm, a height of about 300 nm, and separation of about 150 nm.

Figure 18.

AFM images of inverted nanopyramid structured master mold: (a) 2-D view, (b) 3-D view, and (c) cross-sectional traces.

3.2.1. Imprint: Upright nanopyramid structures replication

Figure 19 shows the schematic illustration of the first imprint process steps to create the upright nanopyramid structures into a UV-curable resist-coated glass substrate from inverted nanopyramid-structured Si master mold. The F13-TCS SAM-coated Si master mold coated with F13-TCS SAM/UV-curable resist-coated glass substrate was loaded into the imprint tool. The SAM-coated Si master mold and the UV-curable-coated glass substrate were prepared as described in Section 3.1. A vacuum pressure was set to 4 mbar and the Mask Aligner (MA-6) system was then activated. The resist was cured under a UV exposure for 4 min using 4.4 mW/cm2 illumination intensity with 365-nm UV source at room temperature. A manual detaching process was utilized by giving gentle force using a blade at one edge of the substrate in order to delaminate between the mold and the substrate surfaces. Subsequently, the replicated substrate was thermally baked in an oven at 150°C for 2 h to improve the film thermal and environmental stability.

Figure 19.

The schematic diagram of the first imprint process steps to replicate the upright nanopyramid structures.

Figure 20(a) and (b) show the 2-D and three-dimensional (3-D) AFM images of the periodic upright nanopyramid formed onto the OrmoStamp resist-coated glass substrate as the result of first imprint process. It can be seen that the upright nanopyramid structures with periodic features in the order of 500 nm and smooth surfaces have been precisely replicated onto the OrmoStamp resist-coated glass substrate. The AFM images for the master mold and imprinted sample were compared and dimensions measured from randomly selected areas but with the same scanned area of 5 × 5 μm. Figures 18(c) and 20(c) reveal that no significant differences can be found between the master mold and inverted shape of master mold replica. These results further confirm that excellent fidelity periodic upright nanopyramid structures can be achieved by UV-NIL imprinting. This high-fidelity replication offers high flexibility in designing new light-trapping schemes for solar cell applications. The UV-curable resist can be incorporated into a range of solar cell configuration because of its low optical absorption [44]. Therefore, the replicated periodic upright nanopyramid structures on the OrmoStamp resist-coated glass substrate can be utilized as light-trapping and self-cleaning functions in different types of solar cells such as thin film and polycrystalline materials. This imprinting process can be continued, and the inverted nanopyramid structures can be created from the upright nanopyramid-structured glass as a mold for a direct 3-D imprint process. It should be noted that there is no direct technique for forming periodic and ordered upright pyramid structures on crystalline silicon because of the limitation imposed by the crystal orientation.

Figure 20.

AFM images of the upright nanopyramid structures formed on UV transparent OrmoStamp resist-coated glass substrate after the first imprint: (a) 2-D view, (b) 3-D view, and (c) cross-sectional traces.

3.2.2. Imprint: inverted nanopyramid structures replication

Figure 21 shows the overall imprinting process steps to create the inverted nanopyramid structures onto UV-curable resist-coated glass substrate. In this imprinting process, the replicated upright nanopyramid structure on UV-curable resist-coated glass substrate was used as a mold in order to form the inverted nanopyramid structures on UV-curable resist-coated glass substrate. A very thin F13-TCS SAM was used as an anti-adhesive layer on the upright nanopyramid-structured glass substrate. It was deposited on a resist-coated glass surface as described in Section 3.1.2.

Figure 21.

The schematic diagram of the overall process steps to replicate the inverted nanopyramid structures from upright pyramids mold.

The OrmoStamp-coated substrate was prepared as explained in Section 3.1.3 and the upright nanopyramid-structured glass substrate coated with F13-TCS SAM/the OrmoStamp-coated substrate was loaded into the imprint tool as shown in Figure 21. The inverted nanopyramid structures were replicated on the OrmoStamp-coated substrate by the same UV-imprinting method as described in the first imprinting process using upright nanopyramid-patterned glass substrate as a master mold.

In order to determine the accuracy of the replication by the nanoimprint process, AFM images of the master mold and replicated substrates were taken. Figure 22(a) and (b) show the 2-D and 3-D AFM images of the periodic inverted nanopyramid structures formed onto the OrmoStamp resist-coated glass substrate as the result of second imprint process with a scanned area of 5 × 5 μm. It can be seen that the inverted nanopyramid structures with periodic features and smooth surfaces have been precisely reproduced onto the OrmoStamp resist-coated glass substrate with high fidelity. Figure 22(c) illustrates the AFM image cross-sectional traces of the replicated inverted nanopyramid structures on resist-coated substrate. It shows that the replication from the upright nanopyramid on the resist-coated substrate is very similar and high accuracy compared to original Si master mold as illustrated in Figure 18(c).

Figure 22.

AFM images of inverted nanopyramid structures formed on UV transparent OrmoStamp resist-coated glass substrate after the second imprint: (a) 2-D view, (b) 3-D view, and (c) cross-sectional traces.

There are some issues which might come up during the development of the UV-NIL process. The adhesions between the resist and substrate are critical issues when no adhesion promoter is added to the substrate before the resist spin-coating process. In order to resolve the adhesion problem between the resist and the substrate, OrmoPrime08 from micro resist technology is used to enhance the adhesion of the OrmoStamp resist to the substrate. It is observed that the F13-TCS SAM-coated master mold can be used several times in the imprint process, whereas imprint process will not be successful without F13-TCS SAM coating due to the sticking and particles contamination. SAM coating enhances the imprint qualities and also improves the mold lifetime remarkably by precluding surface damage and contamination. It is also observed that the adhesion between the mold and the replica is stronger when higher exposure dose is used during the imprint process. This effect may be correlated to higher shrinkage of the resist when exposed to higher UV exposure dose. In this case, it is preferred to maintain constant UV exposure dose (1000 mJcm−2) prior to the demolding, and additional UV exposure and hard baking must be done after demolding.

The inverted nanopyramid structures, which are utilized for light trapping in solar cells, can be transferred to the resist-coated substrate by the nanoimprint process without any structural losses. The inverted nanopyramid structures replicated by nanoimprint process can be used in different configurations (upright, inverted, within, or on top of substrates) to enhance the solar cell performance or antireflection coatings on glass are used.

Advertisement

4. Conclusions

In this chapter, the fabrication and replication of periodic nanopyramid structures by LIL and UV-NIL are presented. The inverted nanopyramid structures were fabricated on the Si substrates by LIL and subsequent pattern transfer process using reactive ion etching, followed by KOH wet etching. The pattern of nanoholes is recorded on AZMiR 701 i-line positive photoresist using LIL by double exposure. The CHF3/Ar plasma etching is performed to transfer the nanoholes pattern into thin SiO2 interlayer. Then, O2 plasma etching is performed to transfer the pattern into ARC layer with thin SiO2 as a mask. The pattern is transferred into the thermal oxide layer using CHF3/Ar plasma etching. The inverted pyramid structures are formed on Si substrate by KOH wet etching, and the SiO2 mask layer is removed by buffered HF etching. The fabricated inverted nanopyramid structures on Si substrate are utilized as a master mold in the nanoimprint replication process.

In the first nanoimprint process, the upright nanopyramid structures are formed on the OrmoStamp-coated glass substrate using Si master mold with high fidelity. The upright nanopyramid-structured glass substrate could be used as cover glass for solar cell application and as a mold for the second imprint process. In the second nanoimprint process, the inverted nanopyramid structures are fabricated on the OrmoStamp-coated substrate using the upright nanopyramid-structured glass substrate as a mold. The replicated inverted nanopyramid structure on a resist-coated substrate is faithfully resolved with the high accuracy compared to original Si master mold. The upright and inverted nanopyramid structures by the nanoimprint process can be utilized as light-trapping and self-cleaning surfaces for different types of solar cells.

References

  1. 1. Lee SH, Han KS, Shin JH, Hwang SY, Lee H. Fabrication of highly transparent self-cleaning protection films for photovoltaic systems. Progress in Photovoltaics: Research and Applications. 2013;21:1056-1062. DOI: 10.1002/pip.2203
  2. 2. Sivasubramaniam S, Alkaisi MM. Inverted nanopyramid texturing for silicon solar cells using interference lithography. Microelectronic Engineering. 2014;119:146-150. DOI: 10.1016/j.mee.2014.04.004
  3. 3. Amalathas AP and Alkaisi MM. Enhancing the performance of solar cells with inverted nanopyramid structures fabricated by UV nanoimprint lithography. 2016 IEEE 43rd Photovoltaic Specialists Conference (PVSC); 2016. IEEE
  4. 4. Amalathas AP, Alkaisi MM. Efficient light trapping nanopyramid structures for solar cells patterned using UV nanoimprint lithography. Materials Science in Semiconductor Processing. 2017;57:54-58. DOI: 10.1016/j.mssp.2016.09.032
  5. 5. Kanamori Y, Sasaki M, Hane K. Broadband antireflection gratings fabricated upon silicon substrates. Optics Letters. 1999;24:1422-1424. DOI: 10.1364/Ol.24.001422
  6. 6. Amalathas AP, Alkaisi MM. Periodic upright nanopyramid fabricated by ultraviolet curable nanoimprint lithography for thin film solar cells. International Journal of Nanotechnology. 2017;14:3-14. DOI: 10.1504/Ijnt.2017.082435
  7. 7. Tong HD, Jansen HV, Gadgil VJ, Bostan CG, Berenschot E, van Rijn CJ, Elwenspoek M. Silicon nitride nanosieve membrane. Nano Letters. 2004;4:283-287. DOI: 10.1021/Nl0350175
  8. 8. Hulteen JC, Van Duyne RP. Nanosphere lithography: A materials general fabrication process for periodic particle array surfaces. Journal of Vacuum Science & Technology A. 1995;13:1553-1558. DOI: 10.1116/1.579726
  9. 9. Cheng JY, Ross CA, Thomas EL, Smith HI, Vancso GJ. Fabrication of nanostructures with long-range order using block copolymer lithography. Applied Physics Letters. 2002;81:3657-3659. DOI: 10.1063/1.1519356
  10. 10. Low HY. Complex and useful polymer micro-and nanostructures via nanoimprint lithography. International Journal of Nanotechnology. 2007;4:389-403. DOI: 10.1504/Ijnt.2007.013973
  11. 11. Amalathas AP, Alkaisi MM. Upright nanopyramid structured cover glass with light harvesting and self-cleaning effects for solar cell applications. Journal of Physics D: Applied Physics. 2016;49:465601. DOI: 10.1088/0022-3727/49/46/465601
  12. 12. McAlpine MC, Friedman RS, Lieber CM. Nanoimprint lithography for hybrid plastic electronics. Nano Letters. 2003;3:443-445. DOI: 10.1021/nl034031e
  13. 13. Pisignano D, Persano L, Mele E, Visconti P, Anni M, Gigli G, Cingolani R, Favaretto L, Barbarella G. First-order imprinted organic distributed feedback lasers. Synthetic metals. 2005;153:237-240. DOI: 10.1016/j.synthmet.2005.07.273
  14. 14. Cheng X, Hong Y, Kanicki J, Guo LJ. High-resolution organic polymer light-emitting pixels fabricated by imprinting technique. Journal of Vacuum Science & Technology B. 2002;20:2877-2880. DOI: 10.1116/1.1515307
  15. 15. Zhang W, Chou SY. Fabrication of 60-nm transistors on 4-in. Wafer using nanoimprint at all lithography levels. Applied Physics Letters. 2003;83:1632-1634. DOI: 10.1063/1.1600505
  16. 16. Falconnet D, Pasqui D, Park S, Eckert R, Schift H, Gobrecht J, Barbucci R, Textor M. A novel approach to produce protein nanopatterns by combining nanoimprint lithography and molecular self-assembly. Nano Letters. 2004;4:1909-1914. DOI: 10.1021/nl0489438
  17. 17. Martın J, Nogues J, Liu K, Vicent J, Schuller IK. Ordered magnetic nanostructures: Fabrication and properties. Journal of Magnetism and Magnetic Materials. 2003;256:449-501. DOI: 10.1016/S0304-8853(02)00898-3
  18. 18. Ahn S-W, Lee K-D, Kim J-S, Kim SH, Park J-D, Lee S-H, Yoon P-W. Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography. Nanotechnology. 2005;16:1874. DOI: 10.1088/0957-4484/16/9/076
  19. 19. Cao H, Tegenfeldt JO, Austin RH, Chou SY. Gradient nanostructures for interfacing microfluidics and nanofluidics. Applied Physics Letters. 2002;81:3058-3060. DOI: 10.1063/1.1515115
  20. 20. Battaglia C, Escarré J, Söderström K, Charrière M, Despeisse M, Haug F-J, Ballif C. Nanomoulding of transparent zinc oxide electrodes for efficient light trapping in solar cells. Nature Photonics. 2011;5:535-538. DOI: 10.1038/Nphoton.2011.198
  21. 21. Jošt M, Albrecht S, Kegelmann L, Wolff CM, Lang F, Lipovšek B, Krč J, Korte L, Neher D, Rech B. Efficient light management by textured nanoimprinted layers for perovskite solar cells. ACS Photonics. 2017;4:1232-1239. DOI: 10.1021/acsphotonics.7b00138
  22. 22. Zhang C, Song Y, Wang M, Yin M, Zhu X, Tian L, Wang H, Chen X, Fan Z, Lu L. Efficient and flexible thin film amorphous silicon solar cells on nanotextured polymer substrate using sol–gel based nanoimprinting method. Advanced Functional Materials. 2017;27:1-8. DOI: 10.1002/Adfm.201604720
  23. 23. Farhoud M, Hwang M, Smith HI, Schattenburg M, Bae J, Youcef-Toumi K, Ross C. Fabrication of large area nanostructured magnets by interferometric lithography. IEEE Transactions on Magnetics. 1998;34:1087-1089. DOI: 10.1109/20.706365
  24. 24. Xie Q, Hong M, Tan H, Chen G, Shi L, Chong T. Fabrication of nanostructures with laser interference lithography. Journal of Alloys and Compounds. 2008;449:261-264. DOI: 10.1016/j.jallcom.2006.02.115
  25. 25. Chen CG, Konkola PT, Heilmann RK, Pati G, Schattenburg ML. Image metrology and system controls for scanning beam interference lithography. Journal of Vacuum Science & Technology B. 2001;19:2335-2341. DOI: 10.1116/1.1409379
  26. 26. Walsh ME. On the Design of Lithographic Interferometers and Their Application. Massachusetts Institute of Technology; 2004
  27. 27. Ji R. Templated Fabrication of Periodic Nanostructures Based on Laser Interference Lithography [Ph.D. Dissertation]. Martin-Luther-Universität Halle-Wittenberg; 2008
  28. 28. Park S, Cho E, Song D, Conibeer G, Green MA. N-type silicon quantum dots and p-type crystalline silicon heteroface solar cells. Solar Energy Materials and Solar Cells. 2009;93:684-690. DOI: 10.1016/j.solmat.2008.09.032
  29. 29. Seidel H, Csepregi L, Heuberger A, Baumgärtel H. Anisotropic etching of crystalline silicon in alkaline solutions. I. Orientation dependence and behavior of passivation layers. Journal of the Electrochemical Society. 1990;137:3612-3626. DOI: 10.1149/1.2086277
  30. 30. Chou SY, Krauss PR, Renstrom PJ. Imprint of sub-25 nm vias and trenches in polymers. Applied Physics Letters. 1995;67:3114-3116. DOI: 10.1063/1.114851
  31. 31. Ahn SH, Guo LJ. High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates. Advanced Materials. 2008;20:2044-2049. DOI: 10.1002/adma.200702650
  32. 32. Colburn M, Johnson SC, Stewart MD, Damle S, Bailey TC, Choi B, Wedlake M, Michaelson TB, Sreenivasan S, Ekerdt JG. Step and flash imprint lithography: A new approach to high-resolution patterning. In: Microlithography’99. International Society for Optics and Photonics; 1999
  33. 33. Chou SY, Keimel C, Gu J. Ultrafast and direct imprint of nanostructures in silicon. Nature. 2002;417:835-837. DOI: 10.1038/nature00792
  34. 34. Ruiz SA, Chen CS. Microcontact printing: A tool to pattern. Soft Matter. 2007;3:168-177. DOI: 10.1039/b613349e
  35. 35. Bao L-R, Cheng X, Huang X, Guo L, Pang S, Yee A. Nanoimprinting over topography and multilayer three-dimensional printing. Journal of Vacuum Science & Technology B. 2002;20:2881-2886. DOI: 10.1116/1.1526355
  36. 36. Haatainen T, Majander P, Riekkinen T, Ahopelto J. Nickel stamp fabrication using step & stamp imprint lithography. Microelectronic Engineering. 2006;83:948-950. DOI: 10.1016/j.mee.2006.01.038
  37. 37. Vogler M, Wiedenberg S, Mühlberger M, Bergmair I, Glinsner T, Schmidt H, Kley E-B, Grützner G. Development of a novel, low-viscosity UV-curable polymer system for UV-nanoimprint lithography. Microelectronic Engineering. 2007;84:984-988. DOI: 10.1016/j.mee.2007.01.184
  38. 38. Plachetka U, Bender M, Fuchs A, Vratzov B, Glinsner T, Lindner F, Kurz H. Wafer scale patterning by soft UV-nanoimprint lithography. Microelectronic Engineering. 2004;73:167-171. DOI: 10.1016/j.mee.2004.02.035
  39. 39. Lee J, Park S, Choi K, Kim G. Nano-scale patterning using the roll typed UV-nanoimprint lithography tool. Microelectronic Engineering. 2008;85:861-865. DOI: 10.1016/j.mee.2007.12.059
  40. 40. Fuchs A, Bender M, Plachetka U, Kock L, Koo N, Wahlbrink T, Kurz H. Lithography potentials of UV-nanoimprint. Current Applied Physics. 2008;8:669-674. DOI: 10.1016/j.cap.2007.04.019
  41. 41. Beck M, Graczyk M, Maximov I, Sarwe E-L, Ling T, Keil M, Montelius L. Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectronic Engineering. 2002;61:441-448. DOI: 10.1016/S0167-9317(02)00464-1
  42. 42. Jaszewski R, Schift H, Schnyder B, Schneuwly A, Gröning P. The deposition of anti-adhesive ultra-thin Teflon-like films and their interaction with polymers during hot embossing. Applied Surface Science. 1999;143:301-308. DOI: 10.1016/S0169-4332(99)00014-8
  43. 43. Jang E-J, Park Y-B, Lee H-J, Choi D-G, Jeong J-H, Lee E-S, Hyun S. Effect of surface treatments on interfacial adhesion energy between UV-curable resist and glass wafer. International Journal of Adhesion and Adhesives. 2009;29:662-669. DOI: 10.1016/j.ijadhadh.2009.02.006
  44. 44. Escarre J, Söderström K, Battaglia C, Haug F-J, Ballif C. High fidelity transfer of nanometric random textures by UV embossing for thin film solar cells applications. Solar Energy Materials and Solar Cells. 2011;95:881-886. DOI: 10.1016/j.solmat.2010.11.010

Written By

Amalraj Peter Amalathas and Maan M. Alkaisi

Submitted: 16 March 2017 Reviewed: 16 November 2017 Published: 02 May 2018