Open access peer-reviewed chapter

3C-SiC — From Electronic to MEMS Devices

Written By

Jean-François Michaud, Marc Portail and Daniel Alquier

Submitted: 17 November 2014 Reviewed: 10 June 2015 Published: 17 September 2015

DOI: 10.5772/61020

From the Edited Volume

Advanced Silicon Carbide Devices and Processing

Edited by Stephen E. Saddow and Francesco La Via

Chapter metrics overview

3,032 Chapter Downloads

View Full Metrics

Abstract

Since decades, silicon carbide (SiC) has been avowed as an interesting material for high-power and high-temperature applications because of its significant properties including its wide bandgap energy and high temperature stability. SiC is also professed as an ideal candidate for microsystem applications due to its excellent mechanical properties and chemical inertia, making it suitable for harsh environments. Among the 250 different SiC polytypes, only 4H, 6H and 3C-SiC are commercially available. The cubic structure, 3C-SiC, is the only one that can be grown on cheap silicon substrates. Hence, 3C-SiC is more interesting than any other polytype for reducing fabrication costs and increasing wafer diameter. This huge property has been evidenced for more than 30 years using chemical vapor deposition. Despite this key achievement and the growing interest for silicon carbide, no 3C-SiC-based devices can be found on the market whereas 4H-SiC-based devices are more and more largely commercialized. Even so, important headways have been reached for electrical and microelectromechanical systems (MEMS) applications. Therefore, the purpose of this chapter is to address concerns related to electronic applications and MEMS fabrication of 3C-SiC-based devices, trying to give a broad overview on specific issues and challenging solutions.

Keywords

  • Doping
  • Defects
  • Implantation
  • Etching
  • MEMS

1. Introduction

Silicon carbide (SiC) is a material presenting different crystalline structures called polytypes. Indeed, more than 250 structures are referenced in the literature [1]. Each polytype is characterized by its own atomic stacking sequence, which can result in cubic, hexagonal or rhombohedral structures, but each structure consists of 50% carbon atoms bonded with 50% silicon atoms. However, among the different polytypes, only two hexagonal structures (4H-SiC and 6H-SiC) and the cubic one (3C-SiC) are commercially available. As the properties are closely related to the crystalline arrangement, they differ from one polytype to another but the tendencies are similar. Silicon carbide presents a high breakdown field (2-4 MV/cm) and a high energy bandgap (2.3-3.2 eV), largely higher than for silicon. As a result, silicon carbide is commonly referred to as a wide bandgap material. The combination of these two properties is a great advantage for the design of electrical devices presenting a low on-state resistance and a low leakage current. In addition, SiC also presents a high saturated electron velocity, which is a crucial parameter for high-frequency applications. Moreover, the silicon carbide thermal conductivity is around three times higher than that observed for silicon. Then, SiC is a material presenting high thermal capability. This property can be very helpful for devices subjected to high temperature and compares favorably to challenging wide bandgap materials such as gallium nitride. Furthermore, SiC is also known as a biocompatible material [2], which is deeply valued for biologic applications [3], and is resistant to high-radiative environments [4]. Within this frame, the cubic polytype of SiC (3C-SiC) is the only one that can be grown on a host substrate with the huge opportunity to grow only the silicon carbide thickness required for the targeted application. The possible growth on silicon substrate has long remained a real advantage in terms of scalability regarding the reduced diameter of commercially available hexagonal SiC. To date, the growth of 3C-SiC on silicon has been demonstrated on 150 mm Si wafers [5]. Since the pioneering works of Nishino et al. in the early 1980s, who demonstrated an efficient method to grow 3C-SiC on silicon [6], a large amount of data concerning growth mechanisms has been established by many groups and paved the route for the large dissemination of this material [6-11]. However, despite the fact that the realization of 3C-SiC/Si epilayers appears very promising for taking benefit of outstanding properties of SiC at a low cost, the low crystalline quality of these epilayers in comparison to what is obtained on hexagonal bulk SiC wafers have hampered their use for device fabrication. Nevertheless, the positive trade-off between the cost advantage and a real opportunity for scalability versus reduced quality compared to hexagonal polytypes maintains interest in 3C-SiC for diverse applications.

To summarize, silicon carbide is a promising material with high potential for designing high-power and high-temperature electrical devices, as clearly described in some review papers [12-15].

Advertisement

2. 3C-SiC for electronic applications

2.1. Doping

2.1.1. In situ doping

As explained previously, the great advantage of the cubic polytype is the possibility to grow 3C-SiC films on cheap silicon substrates. Then, in order to consider the elaboration of any 3C-SiC-based device, it is necessary to master its growth. For more than 30 years, this theme has been deeply investigated and will not be detailed here. For more detailed information, one could consult some papers as the idea of this contribution is rather to discuss the important progresses accomplished for electrical applications [16, 17].

In order to consider the elaboration of electrical devices, it is necessary to master some technological steps. Among them, doping is probably the most important. Doped layers can be obtained directly during the epitaxial growth of silicon or silicon carbide films. This in situ doping presents a major advantage for providing, in a single process, a finalized heterostructure.

The doping-related issues of SiC epilayers have been addressed in the literature in the same time as growth developments because doping incorporation can have a strong impact on growth conditions. For SiC, different dopants can be used, such as nitrogen or phosphorus for n-type doping and boron or aluminum for p-type doping. The choice of dopant is motivated by their low dopant ionization energy. For nitrogen and phosphorus, these energies are around 50 meV, which is in the same order of magnitude as the one observed for donor dopants classically used with silicon, phosphorus and arsenic. However, p-type doping is much more complicated in SiC in comparison with Si. Usually, silicon p-type doping is obtained using boron, which presents an ionization energy around 45 meV. This value is around 6 times lower than the shallowest acceptor dopants observed in SiC using aluminum. As a consequence, SiC p-type doping is a permanent challenge.

In case of nitrogen doping, a quite largely accepted scheme exists and is relevant for many cases (different SiC polytype, SiC polarity (for hexagonal polytypes), or SiC crystalline orientation). It is well known that nitrogen incorporates SiC by substituting with carbon atoms, leading to a N/C site competition effect [18]. A direct consequence is the possibility to tune, to a large extent, the dopant concentration. It can be noticed that most of the published works deal with the incorporation of nitrogen within hexagonal SiC polytypes. For them, the SiC polarity is shown to play an important role [19, 20]. Nitrogen is preferentially incorporated onto a carbon face and can be reduced by increasing the C/Si ratio regarding the site competition effect. In case of the 3C-SiC epilayer, the incorporation of dopant has been less discussed. The presence of extended defects within the epilayer makes the interpretation in the sole term of site competition effect more complicated but some similarities with hexagonal polytypes exist. Regarding the impact of crystalline orientation of the epilayer, it has been shown that both (100) and (111) oriented epilayers present a similar level of nitrogen incorporation, but a different incorporation behavior when C/Si ratio is modified during growth. If (100) oriented epilayers do not present a modification of the incorporation with a C/Si increase, this one is reduced on (111) epilayers with C/Si increase, highlighting a possible influence of the reactor environment in which growth is done as well as a different surface atomic structure between the two crystalline orientations. It is also of interest to point out that doping level acts on the residual stress within 3C-SiC/Si epilayer. Compressive to tensile residual strain states have been reported in accordance to the nitrogen concentration and must be considered when these epilayers are expected to be used for the design of MEMS where stress is an important parameter to take into account [21]. This highlights the special care we must take when considering doping issues in 3C-SiC. It is also important to mention that nitrogen doping does not imply any memory effect during the growth, allowing the formation of abrupt highly/low doped 3C-SiC heteroepilayers, relevant for the design of devices such as Schottky diodes. In addition, different mechanisms governing the aluminum doping remain a matter of debate. If it is expected that aluminum incorporates in place of silicon atoms, a large dispersion of the experimental results obtained by various groups attests either to some misunderstanding of the mechanism or highlights the influence of the specificity of each growth reactor [19, 22-24]. For instance, Forsberg et al. have shown that, regarding the specific attachment of one aluminum atom to one carbon atom via a single bond, the dependence of aluminum incorporation differs from Si to C polarity for hexagonal polytypes. They also report on a constant incorporation on C face and an increasing incorporation with C/Si increase [22]. Other groups have communicated their own results on the topic but often with more or less pronounced dissimilarities [19, 23, 24]. We can highlight that, in most cases, the role of uncontrolled flux of carbon in the reactor, due to graphite surfaces of the vessel, can have a nonnegligible impact on growth and could be partly responsible for the discrepancies reported in results. However, this parameter is inherent to a given growth reactor, making a direct comparison between different groups difficult. To our knowledge, very few groups have reported on Al incorporation into 3C-SiC epilayers [25]. A last point to mention is the fact that chemical precursors used for p doping induce a large memory effect in the growth chamber, which makes it difficult to realize abrupt p/n junctions in a single growth process. The addition of chloride-based gases has been recently proposed to circumvent this drawback and could be helpful for the realization of high-quality bipolar devices [26]. Finally, it is important to mention that using this method, it is not possible to obtain dissimilar planar doping levels. To localize the doping regions, other methods are required.

2.1.2. Implantation

In silicon technology, localized doping can be achieved by means of ion implantation or diffusion processes. In contrast, in silicon carbide technology, due to the extremely low dopant diffusion, ion implantation is the only method available. In order to get n-type highly doped regions, both nitrogen (N) and phosphorus (P) implantations were studied in the literature [27-29].

By means of ion implantation, «impurities» can be selectively introduced into the silicon carbide layer at a thickness depending on the energy. Then, due to the lack of diffusion, obtaining a deep abrupt junction requires multiple-step implantation known as a «box-like» profile, as illustrated in Fig. 1.

Figure 1.

Example of a multienergies implantation required obtaining a nitrogen box-like profile in 3C-SiC with a 5 × 1019 cm-3 concentration doping level, simulated by SRIM.

The other significant implantation parameter is the dose as it induces the doping level of the implanted material. However, due to the collisions between incorporated ions and the silicon carbide crystal lattice, the implantation step leads to the generation of point and extended defects. In addition, the implanted species are classically in interstitial sites in the crystal lattice. As a consequence, the implanted ions cannot bond with the SiC atoms and thus they cannot participate in the electrical conduction. For both reasons, it is mandatory to provide energy to the targeted material, aiming to recover the crystal lattice (if possible) and to allow the implanted species to locally diffuse for occupying a substitutional site. This energy is provided by means of an annealing. However, as 3C-SiC is grown on silicon substrates, the post-implantation annealing temperature is limited by the melting point of silicon (around 1410°C). Then, the feasibility of obtaining SiC on cheap Si substrates, which is a huge advantage to elaborate cost-effective devices, is also a «bonus» challenging parameter, in comparison with the 4H and 6H-SiC polytypes, which have to be considered. In fact, this parameter has to take into account the dose calculation as, in some cases, a high dose that is expected to lead to a high doping level could induce an amorphization of the implanted region. In such conditions, even a post-implantation annealing for several hours at 1350°C is not sufficient to entirely recover the crystal lattice [30]. To circumvent this problem, two tracks have been explored, essentially on 4H-SiC. The first one consists of performing the implantation in temperature [31, 32]. This leads to a notable constraint of the defects induced by the implantation step but it involves the use of «original» implanters. The other track was based on a statement considering the size of the species: in the periodic table referencing the chemical elements, nitrogen (N) and carbon are neighbors. The same observation can be made with phosphorus (P) and silicon. As a consequence, it is generally admit that after implantation, N atoms occupy preferentially the SiC carbon sites and P atoms occupy the silicon sites. Based on this statement, co-implantation of both species has been investigated in 4H-SiC and the results were promising [33, 34]. To our knowledge, such a study has never been experimentally completed in 3C-SiC except by our groups [35]. Actually, in 2011, we investigated 3C-SiC doping using nitrogen, phosphorus implantations or their co-implantation. As expected from a physical point of view, crystal damages increased when increasing the atomic mass of the implanted species. However, surprisingly, co-implantation did not demonstrate any interest in comparison with single nitrogen implantation. The defects induced by the higher mass of phosphorus were probably not entirely recovered consecutively to the post-implantation annealing.

Anyway, whatever the implantation conditions, defects are still induced. Then, this step is always associated with a post-implantation annealing. As previously mentioned, due to the presence of the silicon substrate, the temperature is limited to 1400°C, which is several hundred degrees below the temperature commonly used for post-implantation annealing on 4H-SiC substrates. However, even if the temperature is lower, a degradation of the surface can be observed according to the post-implantation annealing temperature. Due to that, as on 4H-SiC polytypes, samples can be annealed with a carbon-cap layer based on pyrolized photoresist [36-39]. This layer is then removed by annealing under oxygen, typically at 800°C. Using this method, it is possible to anneal 3C-SiC samples without any degradation of the surface [35], whereas such a degradation was observed with no capping layer [30]. Another method has been also investigated, using a silane overpressure during the annealing in order to prevent desorption of silicon atoms from the silicon carbide film [40, 41]. Nevertheless, the appropriate gas parameters are strictly related to the design of the furnace then it is very difficult to transpose them from a study to another one. Thus, to our knowledge, this method has been deserted to the detriment of the carbon cap layer process.

2.2. Metal-semiconductor contacts

The wide bandgap of 3C-SiC is a huge benefit for the achievement of electronic devices but the use of an electrical device requires the ability to control current flow, which is closely related to the electrical contacts. When a semiconductor material and a metal are brought into contact, an ohmic or a rectifying contact can be formed. For an ohmic contact, a linear and symmetric current-voltage characteristic is observed for negative and positive applied voltages, which allows current flow through the electrical device. The resistance for such a contact is negligible in comparison to the bulk one. In contrast, a rectifying contact allows the current to flow for only one voltage regime (negative or positive). The formation of an ohmic contact needs the injection of electrons from the metal to the semiconductor material. As a consequence, the metal work function ϕm has to be lower than the one for the semiconductor material ϕs, as illustrated in Fig. 2 for an n-type semiconductor.

Figure 2.

Energy band diagram for a metal and an n-type semiconductor, in the case ϕm < ϕs, before contact (a) and after contact (b).

The work function of most metals used in microelectronics field is about 4.5-5 eV, whereas the 3C-SiC electron affinity χs is 4 eV. As a consequence, the condition ϕs > ϕm is difficult to attain and, hence, the contacts on 3C-SiC are «naturally» rectifying after the metal deposition. To circumvent this problem, the common method considered for wide bandgap material is to get a highly doped epilayer beneath the contact. According to the process flow, the high doping level can be obtained directly on in situ heavily doped material or on implanted layers but, in both cases, the common idea is to favor the current flow by tunneling through the thin barrier.

For a more detailed explanation, a complete description of the metal-semiconductor contacts can be found in the literature, for example, see [42].

Contact annealing and specific contact resistance

As explained previously, the contacts on highly doped material can present an ohmic behavior as-deposited. However, in most cases, the resistivity of the contact and therefore the specific contact resistance (SCR), is not appropriate to consider electrical applications. As a consequence, the metals are generally annealed posteriorly to the deposition. The aim of annealing is to induce a reaction between the metal and the semiconductor material. For example, titanium reacts with SiC in order to form silicide or carbide phases, presenting suitable electrical characteristics. The annealing itself can be very different from one study to another according to temperature, duration, atmosphere (argon, nitrogen, vacuum), heating ramp, and so on.

SCR values are widely used to characterize a contact. This parameter, which can be obtained by means of transfer length method (TLM) [43] or circular-TLM [44], is preferred over the contact resistance that is closely linked to the surface of the contact. Obtaining ohmic contacts on 3C-SiC with a SCR value as low as possible has been the holy grail of numerous studies for the past two decades [45, 46]. Indeed, it is commonly admitted that a specific contact resistance around 10-6 Ω cm2 is targeted for the elaboration of electrical devices. To obtain ohmic contacts on 3C-SiC, different metals have been considered: Al, Ni, Cr, Pt, Mo, Ti... as well as metal association: Ti/Al, Al/Au, Ti/Ni... essentially on n-type 3C-SiC materials. SCR is also commonly considered to compare different post-implantation annealing conditions, as it is an indirect gauge of the electrical activation.

Nowadays, thanks to the large efforts achieved on this concern, a contact with a 10-6 Ω cm2 SCR value can be «easily» obtained on 3C-SiC material with a high doping level (>1019 cm-3). However, until now, no 3C-SiC-based electrical devices can be found on the market. This suggests that the achievement of an ohmic contact, which has long been considered as the main issue to overcome before considering the elaboration of an electrical device, is not the only locking parameter. Actually, this absence can be explained by the high density of defects.

As explained previously, obtaining Schottky contacts is less challenging as the contacts are naturally rectifying on SiC. Metals usually involved for such contacts on 3C-SiC are gold, nickel, aluminum and platinum, in most cases without annealing. However, special care is needed concerning the temperature behavior of as-deposited contacts, as SiC-based electronic devices are generally considered capable of working in these temperatures.

2.3. Role of defects

Since decades, many efforts have been done to improve the crystalline quality of 3C-SiC grown on Si substrate using CVD, but defects are still present. Indeed, intrinsic stress is created during the deposition process due to the lattice mismatch between 3C-SiC (4.36 Å) and Si (5.43 Å). In addition, thermoelastic stress is introduced during the post-deposition growth, due to the 8% difference in the thermal expansion coefficients of both materials. The resulting stress, which induces the formation of different planar or extended defects in 3C-SiC, is a major parameter leading to a noticeable degradation of the final crystalline quality of the epilayer. Furthermore, the specific nucleation stage adopted to grow SiC on Si, namely, the carburization stage, induces the formation of interfacial voids between SiC and Si, which could limit the interest of this system for the fabrication of devices that require interface abruptness or vertical transport. Finally, the residual stress state of the epilayer must be taken into consideration.

Hereafter, we will give a brief overview on the different kinds of defects encountered in 3C-SiC/Si epilayers grown by chemical vapor deposition. Many published works provide further details. We will also discuss the influence each defect can have on the potential development of electronic and mechanical devices.

Figure 3.

(a) Plane view SEM image of 1-µm-thick 3C-SiC(100) epilayers; dark lines are antiphase boundaries; (b) cross-section TEM of 3C-SiC(100) epilayer where antiphase boundary (denoted APB) and stacking faults (denoted SF) are visible; and (c) cross-section SEM of 3C-SiC(100) evidencing the presence of voids at the SiC/Si interface.

Two kinds of defects within epitaxial 3C-SiC films, as illustrated in Fig. 3 (a, b), are widely documented. Antiphase boundaries are the first kind of defects encountered in 3C-SiC(100) epitaxial layers. They are planar defects formed at the geometrical separation of two 3C-SiC grains differing from each other by a 90° rotation in the Si(100) growth plane [47]. These antiphased domains (APDs) being formed by the presence of steps on the Si surface, these steps being constituted by an odd number of Si atomic steps. The nucleation of 3C-SiC on two different Si terraces leads to the formation of two SiC grains growing along the (100) direction but presenting an opposite atomic stacking arrangement along a <111> direction [47]. These two grains coalesce by forming a defective plane called antiphase boundary (APB). Different authors have studied the mechanisms of propagation and annihilation of these defects. It is admitted that when APBs are propagating along a {111} plane, a «natural» annihilation of APBs by mutual intersection occurs when the 3C-SiC is thickened [48, 49]. Nevertheless, it has also been mentioned that some APBs can propagate vertically along the (100) growth direction [50]. In that case, the thickening of the epilayer does not allow the reduction of their density [51]. The influence of APBs on the electrical properties of 3C-SiC epilayers is poorly documented but they are supposed to be electrically active, as demonstrated by Song et al., who have reported their electrical activity [52] (Some details about these measures will be given in the following section). Furthermore, they constitute preferential etching sites when 3C-SiC surfaces are exposed to hydrogen [53]. A second kind of defect of importance is linked to the formation of stacking faults along the <111> planes. Indeed, the formation of two grains of 3C-SiC, differing from one another by a 60° in-plane rotation, is energetically identical but leads to the formation of twinned domains (also called double positioning domains) [54]. In 3C-SiC(100) oriented epilayers, twins are visible as inclined domains respectively to the (100) growth direction. They annihilate mutually by increasing the film thickness. Twins are also present in 3C-SiC(111) oriented layers where they can also be observed parallel to the growth direction (basal double positioning domains) [55]. It is important to notice that most of the published works dealing with 3C-SiC growth on silicon substrates highlight the intrinsic character of such defects and point out the fact that, except by increasing the film thickness, a drastic reduction of their density by only changing growth parameters is not possible. Some authors have proposed different extrinsic routes for effectively reducing the defect densities. In most cases, they require either the suppression of the silicon substrate or the use of specifically patterned Si substrates (undulant substrates) or both (switchback epitaxy) [56-58]. They appear very efficient in solving, to a large extent, the presence of extended defects in 3C-SiC(100) oriented epilayers.

The formation of interfacial voids between the silicon substrate and the 3C-SiC epilayer is another kind of defect, which has a potential impact for the design of 3C-SiC/Si epilayer-based electrical or mechanical devices. Indeed, the formation of 3C-SiC on silicon substrates requires the realization of a first carbonization stage that forms a buffer 3C-SiC layer serving as a seed for the further growth of the epilayer. In most cases, this carbonization stage is performed using a carbon-containing precursor and during this stage some of the silicon substrate is consumed to form the SiC seed [59-62]. This consumption leads to the formation of more or less large cavities (voids) developing at the SiC/Si interface, as can be seen on Fig. 3(c) with noticeable densities (106/108 cm-2). The direct consequence is that SiC/Si is never totally abrupt and can be a real concern for electric vertical transport. Thus, the reduction of the void densities remains a key issue especially for the achievement of electronic devices. This requires extended investigations for identifying the experimental key parameters that govern their formation. Some of them have already been discussed but are not totally efficient for the complete removal of the voids [63-66]. However, Bosi et al. have recently underlined the great impact of the thermal ramp used between carbonization and growth stages. They have demonstrated the fabrication of void-free epilayers by playing on that parameter [67]. This could be of great impact for solving the interface issue.

Finally, the residual stress in 3C-SiC/Si epilayers must be addressed. The residual stress comes from the opposite or additive effect of the intrinsic stress, arising during the growth of the epilayer, and the thermal stress induced during the cooling down process and regarding the large thermal expansion coefficient mismatch between SiC and Si. The residual stress is strongly dependent on the surface orientation as well as the growth parameter. In case of 3C-SiC(100) growth, the residual stress can be either compressive or tensile whereas it has always been observed tensile in case of 3C-SiC(111) epilayers. This has a direct consequence on when such epilayers can be used to fabricate mechanical devices. Our groups have illustrated this issue by reporting on the opposite deflections of cantilevers made from either 3C-SiC(100) or 3C-SiC(111) epilayers [68], as presented in Fig. 4.

Figure 4.

Typical SEM images of 3C-SiC cantilevers formed on the basis of (a) (100) oriented and (b) (111) oriented films.

We will conclude this part by mentioning that grown epilayers present a quite important roughness (Rq roughness in the range of some nm), which is not well suited for additional technological processes such as contacting. In order to achieve sufficient low surface roughness, 3C-SiC epilayers require additional chemical mechanical polishing (CMP), which allows us to significantly reduce the Rq roughness in typical ranges below 0.5 nm [69].

From the abovementioned developments, one can say that a broad knowledge of the different kinds of defects in 3C-SiC epilayers exist but, in comparison, few works have been reported on their influence on the electrical degradation they imply. In 2009, Eriksson et al. investigated the electrical characteristics of Au/3C-SiC Schottky diodes as a function of the contact area (Fig. 5) [70]. They observed that the Schottky barrier height increased upon reducing the contact area and, for the smallest diodes, the value approached the ideal barrier height value. As the defect density is deeply related to the size of the 3C-SiC Schottky diodes, this behavior clearly highlights the influence of the defect towards the electrical characteristics of power devices. However, the electrical influence of the extended defects in 3C-SiC was imprecise.

Figure 5.

Experimental Schottky barrier height values extracted from I-V measurements as a function of the contact radius of Au/3C-SiC Schottky diodes. The results show that the Schottky barrier height increases upon reducing the contact area and, for the smallest diodes, the value approaches the ideal barrier height value. This result was explained by the defects in 3C-SiC, from [70].

In order to highlight the role of the defects from an electrical point of view, a 3C-SiC sample presenting different doping level was investigated by means of scanning spreading resistance microscopy (SSRM) [52]. The sample presented successive 1-µm-thick layers with a nitrogen doping level ranging from 1017 cm-3 to 5 × 1018 cm-3, separated by nonintentionally doped layers. As illustrated in Fig. 6(a), the defects are not present in atomic force microscopy (AFM) topography, whereas these are clearly evidenced on the SSRM cartography in Fig. 6(b). This result evidenced, for the first time, the electrical activity of the extended defects in 3C-SiC. Moreover, the inset in Fig. 6(a) highlighted the defect activity is higher than the electrical activity of a 5 × 1018 cm-3 nitrogen-doped 3C-SiC epilayer.

Figure 6.

Atomic force microscopy topography (a) and scanning spreading resistance microscopy (b) of a 3C-SiC sample presenting a nitrogen doping level from 1017 cm-3 to 5 × 1018 cm-3. The inset corresponds to the SSRM signal of the white rectangular area, from [52].

The electrical activity of extended defects in 3C-SiC is a major concern for electronic device functioning. Indeed, due to the fact that the current flows preferentially through these defects, the electrical performances are severely degraded, leading in particular to high leakage currents and low breakdown voltages. As a consequence, even if some major progresses have been completed on 3C-SiC in the field of electronic device elaboration, this kind of application remains too challenging. To address electrical applications using 3C-SiC, a drastic reduction of the defects or of their electrical activity is compulsory.

Advertisement

3. MEMS fabrication: A new challenge for 3C-SiC?

As explained previously, due to the electrical activity of the extended defects in 3C-SiC, this silicon carbide polytype is not yet suitable for the elaboration of electronic devices. However, for microelectromechanical systems (MEMS) applications, silicon carbide presents very attractive physical and chemical properties (hardness, inertness, melting point, operative temperature, etc.) which open a large field of applications [71-75]. These unique properties are particularly adapted to elaborate microsystems with full satisfactory characteristics and offer the possibility of overcoming those observed on devices using silicon or silicon-based material, which are widely used materials in this field. For example, among the notable properties, silicon carbide biocompatibility is particularly suitable for medical applications [3].

3.1. Silicon carbide etching

The elaboration of MEMS devices requires the mastery of some technological steps. For example, in most cases, an etching stage is required. As shown previously, silicon carbide is a material presenting many properties. Nonetheless, some of them are also drawbacks to elaborate microsystems. This is particularly the case for its chemical inertness. Actually, due to this feature, wet etching of crystalline silicon carbide is extremely difficult as SiC is totally inert to all aqueous etching solutions at room temperature [76]. To our knowledge, the lowest etching temperature referenced in the literature was mentioned by Chu and Campbell in 1965 [77]. They succeeded in etching SiC at 180°C by means of H3PO4. The use of other solutions like KOH is also feasible but it requires higher temperatures [78]. In addition, wet etching is often isotropic and, due to the severe conditions required for silicon carbide etching, difficult to localize. Consequently, due to the huge difficulties of wet silicon carbide etching, many efforts were enforced to develop a more user-friendly method. This is the case for plasma etching, which has since been the subject of intense research for decades.

Historically, reactive ion etching (RIE) using a capacitively coupled plasma (CCP) reactor was massively investigated during the 1980s-1990s. In this configuration (usually just called RIE), a RF electromagnetic field is applied between the two electrodes located on both sides of the reactor. Then electrons are accelerated by the high-frequency electric field and ionize the molecules of the gas, leading to a plasma. Consequently, the ions produced can react with the material to etch. For that matter, this behavior is the source of the RIE appellation. Typically, this chemical reaction is isotropic, leading to sloped sidewall profiles. In contrast, according to ion energy, a sputtering effect of the material can also be observed, which mainly results in an anisotropic etching, then to vertical sidewalls. The two effects coexist and the predominance of one effect compared with the other depends on the etching parameters (power, pressure, etc.). As a consequence, in a «simple» RIE reactor, the ion energy is closely linked to their density [79]. Therefore, in the 1990s, another configuration was developed with the emergence of inductively coupled plasma (ICP) reactors. In such a reactor, the plasma, generated by a RF magnetic field as previously shown (RIE power), is also contained inside the chamber, which is encircled by an inductive coil (ICP power). The great advantage of this configuration, in comparison with a RIE reactor, is the possibility to independently control the ion energy (with the RIE power) and their densities (with the ICP power). It enables a wide process flexibility varying from a «pure» RIE plasma to a «pure» ICP plasma.

Silicon carbide plasma etching has been largely investigated. However, as previously mentioned, silicon carbide is a material that is difficult to etch. This is also the case using plasma etching. Then, the success of SiC plasma etching involves the use of severe conditions, which are rarely compatible with the masking materials. For example, photoresist, which is a classical masking material for plasma etching, only operates for thin SiC layers according to the etching selectivity, classically around 1. Silicon dioxide films have also been investigated to act as a mask for plasma etching but it requires thick layers, typically several microns [80, 81]. As a consequence, due to the poor selectivity of these materials, a metallic mask is usually preferred. Among them, aluminum has been largely investigated and results to a selectivity at least one order of magnitude higher than the one observed with photoresist [82, 83]. Unfortunately, the use of an aluminum mask induces a micromasking effect [84]. This phenomenon, which can lead to a grass-like surface of the SiC film, is explained by the formation of Al2O3, which is a nonvolatile species [82]. Thus, nickel is widely used as a hard mask instead of aluminum as it presents the interesting detail of being chemically inert towards the chemical species of the plasma. Then, as nickel is only etched by ion bombardment, no micromasking effect is observed using such a metal, except if the mask design is not spaced out enough, which prevents the evacuation of nonvolatile species, as explained in [85].

In terms of chemistry, silicon carbide plasma etching has been largely investigated using fluorinated gases as it is generally admitted that fluor atoms react with both silicon and carbon to form, respectively, SiFx and CFy species [86]. These volatile species are then eliminated by pumping. In some studies, an additional gas, which could be argon or oxygen, is added to the fluorinated gas. Argon is attributed to promoting physical sputtering and also to increasing the dissociation of the plasma gas into reactive species, which therefore increase the etch rate [87]. In contrast, the role of oxygen is controversial. Some authors suggest that oxygen atoms participate directly in the etching of the SiC film by the formation of CO and CO2 species [88]. Other authors suggest that oxygen, for a typical fraction of 20%, helps to dissociate the fluorinated gas then produces more fluor atoms [71]. However, for higher fractions, it leads to a dilution of the fluorinated gas and then to a decrease of the etching rate [89]. This behavior was also observed by Jiang et al. [90]. Beheim et al. also observed an increase of the microtrenching effect in all processes where O2 was incorporated [91]. This behavior was hypothesized by the formation of a SiFxOy layer that could have a greater tendency to charge than SiC. As a consequence, the charges on the sidewalls lead to the deflection of the incident ions, resulting in a microtrenching phenomenon. This same behavior has been observed by other groups [92, 93]. In addition, a small amount of oxygen, typically less than 10%, can be also added to the SF6 gas in order to react with a nickel mask. The aim is to promote the formation of a nickel oxide, which is more resistant to the plasma treatment [68]. It can be helpful for the plasma etching of thick silicon carbide layers as it increases selectivity. In conclusion, the benefit of using oxygen for silicon carbide plasma etching is still debated. Nevertheless, even if the full mechanisms involved in SiC plasma etching are not perfectly identified, this step, compulsory to consider the elaboration of MEMS devices, is now mastered with typical etching rate at around 1 µm/min.

3.2. MEMS devices and mechanical properties

As already discussed, due to its physical and chemical properties, 3C-SiC is a very promising material to elaborate MEMS devices. Some examples of already completed 3C-SiC-based microsystems are presented in Fig. 7.

Figure 7.

Examples of 3C-SiC MEMS devices, from the literature.

For most applications, the idea is to take advantage of the SiC physical properties. For example, the resonant frequencies of the vertical resonators presented in Fig. 7 were around 40% higher than those obtained with an equivalent Si device and the quality factor was twice higher [83]. In addition, using 3C-SiC allows to achieve MEMS devices easier. Indeed, state of the art silicon-based technology is not compatible with conditions encountered by most devices. To become sufficient, some silicon-based devices require the use of cooling system or radiation shielding. These extra items add volume and weight to the initial MEMS devices which is in contradiction with the miniaturization targeted using MEMS devices. Moreover, for specific applications in the field of spatial or aeronautics, an increase of the weight leads to a severe rise of the cost [96]. Considering the silicon carbide physical properties, these problematics should be bypassed using 3C-SiC-based MEMS devices.

As 3C-SiC is grown on silicon, MEMS elaboration generally requires partial etching of the substrate. To do that, two ways are possible as, as opposed to silicon carbide, silicon can be easily etched by means of wet etching. Indeed, different wet-etching solutions have been used such as potassium hydroxide (KOH) mixtures [97, 98] or HF:HNO3/H2O combination with [99] and without the adjunction of acetic acid [83]. This feasibility fully benefits from SiC’s chemical inertia. However, it is also possible to directly etch the silicon substrate by means of plasma etching [80]. Indeed, according to the design of the microsystem, this step can even be completed in the same run that the plasma etching of the SiC film as both materials require fluorinated gases to be etched. It must also be noted that a modification of the plasma parameters can be helpful to favor the isotropic etching of the silicon substrate, in order to liberate the microsystems, as presented in Fig. 4.

For each vibrating system, the Young’s modulus is a key parameter. As a consequence, many research works were focused on this mechanical property. To determine the Young’s modulus, two main methods are used, nanoindentation [100-102] and the resonant frequency measurement of clamped-free cantilevers. The first method consists of penetrating the SiC material by using a hard tip whose mechanical properties are known. Usually, the geometry of the indenter is known with high precision, which is the case for the Berkovic tip presenting a three-sided pyramid geometry. The indenter tip progressively penetrates the investigated material with the applications of increasing load. During the indentation process, depth penetration is recorded as a function of the applied load, resulting in a load vs. displacement curve [103]. As this method cannot be used to provide an elastic modulus value in a particular direction, nanoindentation is more fitting for polycrystalline materials [104]. The second method, mainly used for the determination of 3C-SiC mechanical properties, consists of determining the resonance frequency of clamped-free cantilevers, as illustrated in Fig. 8.

Figure 8.

(a) Multiple size cantilevers enabling Young’s modulus extraction (b) ‘Sun’ cantilevers and associated vibration (c) measured by Polytec MSA 500 Laser Doppler Vibrometer (vibration mode 2).

This parameter, which can be directly determined using optical vibrometers, is used to calculate the Young’s modulus as the resonance frequency of a clamped-free beam, for the mode n, is a function of device geometry and material properties as presented in the following equation [105]:

fn=(λn)22π12hL2EρE1

where λn is a constant depending of the mode (λ1 = 1.875, λ2 = 4.694), h and L are, respectively, the thickness and the length of the beam, E the Young’s modulus and ρ the cantilever material density (3.2 g cm-3 for SiC). Indeed, as mentioned previously, the use of this method has highlighted the fact that 3C-SiC (100) cantilevers are bended downwards whereas 3C-SiC (111) cantilevers are bended upwards, which is clearly visible on submicron-thick cantilevers, and reveal opposite residual stress effects [68, 106].

Equation (1) assumes that the cantilever is free at one end and fixed to the bulk material at the other. Nonetheless, consecutive to the etching of the silicon substrate used to release the beams, an undercutting of the attachment region can be obtained. Consequently, the anchorage point is not totally fixed. As an example, for cantilevers presenting a 20-µm width, an over-etching of more than 10 µm can be observed. The consequence is an increase of the cantilever effective length [107], lowering the vibration frequency and leading to an underestimation of the Young’s modulus. Then, in order to prevent a mistaken value of the Young’s modulus, only «long» cantilevers should be considered, that means cantilevers presenting a length of around one order of magnitude higher than the over-etch value, as presented in Fig. 9.

Figure 9.

Calculated values of the Young’s modulus as a function of the cantilever length for a 0.5-µm-thick (111) 3C-SiC sample. The results are presented for vibration modes 1 and 2, on 10-µm-wide cantilevers.

In the literature, the values presented for the 3C-SiC Young’s modulus are quite dispersed; however, a 450 GPa value for the 100 orientation, is usually acknowledged. However, since 1992, Tong et al. [108] have suggested that defect density could play a role in Young’s modulus. As the crystalline quality is closely dependent of the 3C-SiC deposition method, the dispersion could be explained by the defect density. In 2009, Mastropaolo et al. investigated single crystal and polycrystalline 3C-SiC for MEMS applications [81]. In their work, cantilever resonators were fabricated from the two types of materials using films deposited by CVD. Experimental resonance frequencies were used to calculate the Young’s modulus. Based on this method, they determined a Young’s modulus of 446 and 246 GPa for a 2.3-µm-thick single crystalline (100) 3C-SiC epilayer and for a 1.4-µm-thick polycrystalline material, respectively. That same year, Locke et al. also investigated the Young’s modulus of 3C-SiC by means of nanoindentation [102]. For a 2.3-µm-thick (100) 3C-SiC single crystalline material, they obtained a Young’s modulus of 433 GPa, which was in good agreement with the results obtained by Mastropaolo et al. They also studied this parameter for (111) 3C-SiC films and obtained a value higher than 500 GPa. However, the Young’s modulus determined on a polycrystalline material, evaluated to 457 GPa, was quite different from the one observed by Mastropaolo et al., whereas, in both cases, the thickness of the 3C-SiC layers was similar. Based on these studies, it was then difficult to clearly determine the influence of the defects towards the mechanical properties of 3C-SiC films.

In 2010, our groups also investigated the Young’s modulus of 3C-SiC films by means of the resonance frequencies of clamped-free cantilevers, but for thin 3C-SiC epilayers (<550 nm) as submicron 3C-SiC layers are required for specific applications, for example, in the field of atomic force microscopy, as presented in Fig. 7(c) [95]. For (100) and (111) 3C-SiC oriented films, the Young’s modulus has been evaluated to 350 GPa [68]. This result was in contradiction with the literature data obtained on thicker 3C-SiC materials. As a consequence, complementary analyses of Young’s modulus on thicker layers (then on less defective material), and on polycrystalline material (highly defective) were performed. The same experimental protocol lead to a Young’s modulus evaluation of 450 GPa for a 2-µm-thick (100) 3C-SiC layer and close to 500 GPa for a 1-µm-thick (111) layer. For polycrystalline material, the Young’s modulus was evaluated to 100-150 GPa. This behavior was attributed to the defect density and the evidence that the mechanical properties of 3C-SiC films were severely affected by the defect density, which has been suggested since 1992, was finally highlighted.

More recently, Anzalone et al. also studied the defect influence on heteroepitaxial 3C-SiC Young’s modulus [109]. They found Young’s modulus values from 217 to 425 GPa for (100) 3C-SiC films with a thickness ranging from 2.04 to 3.13 µm, confirming that Young’s modulus is strictly related to the defect density and, therefore, to the film’s thickness. In 2012, the same group also investigated the dependence of mechanical properties of 3C-SiC film with defect densities artificially induced by ion implantation [110]. The main conclusion of this paper was the correlation between the Young’s modulus and the defects induced by the implantation step.

To conclude on this part, even if the defect density is not deeply detrimental for the functioning of MEMS devices, as defects can affect the 3C-SiC mechanical properties, their influence has to be taken into account.

3.3. What’s next for 3C-SiC-based MEMS?

The high 3C-SiC Young’s modulus is not the only interesting property for MEMS applications. The chemical inertia and the temperature resistance of this material are also huge benefits to achieve microsystems that can operate in harsh environments.

Figure 10.

Single crystalline 3C-SiC membrane on a 3C-SiC pseudo-substrate, from [111].

In 2013, Michaud et al. succeeded in achieving a single crystalline 3C-SiC membrane on a 3C-SiC pseudo-substrate [111], using an original 3C-SiC/Si/3C-SiC stack grown on a 100 silicon substrate, as presented in Fig. 10. The process was based on the use of the sandwiched silicon film acting as a sacrificial layer. Such a structure could be the starting point for the achievement of complete SiC-based MEMS devices. Indeed, this result seems promising as, in 2014, Anzalone et al. also investigated the use of a Si/3C-SiC/Si heterostructure [112]. For example, using a thick 3C-SiC epilayer, the silicon substrate could be completely etched in order to obtain a self-supporting monocrystalline 3C-SiC structure. Such a feasibility could be very helpful for medical applications or for devices functioning in harsh environments for which the presence of a silicon substrate is restraining. In addition, thanks in large part to the efforts engaged in controlling the doping level of 3C-SiC films, new MEMS devices could be achievable with, for example, the use of a highly doped layer acting as an electrode.

Advertisement

4. Conclusion

For decades, silicon carbide has been the subject of intensive research activities. This material exists in more than 250 identified structures called polytypes, but only 4H, 6H and 3C-SiC are commercially available. Among these polytypes, only the cubic one, 3C-SiC, can be grown on silicon substrates. This feasibility is a huge benefit to reducing the cost of the devices but, whereas SiC-based devices are more and more present in the market, 3C-SiC-based ones are lacking. However, important headways have been reached for electrical and MEMS applications using this material. Then, the purpose of this chapter was to summarize the noticeable results obtained on this material.

For electrical considerations, large efforts have been done to control the doping level by means of ion implantation, which is a crucial issue to consider in the achievement of any electrical device. Indeed, beyond the necessity to get localized doped layer for electrical applications, doping is also mandatory to obtain ohmic contacts on 3C-SiC. This was probably the most significant issue investigated in the literature last two decades. Fortunately, due to the large efforts engaged for this problematic, specific contact resistance around 10-6 Ω cm2 are now «easily» obtained on 3C-SiC, which is a suitable value to consider the elaboration of electrical devices. However, for the moment, 3C-SiC-based electrical devices are still absent from the market, whereas the success of a fit ohmic contact and SiC local doping have long been considered as the main issues to overcome. Actually, this absence is explained by the high defect density, which has been proved quite recently by means of spreading scanning resistance microscopy. As a consequence, a drastic reduction of the defects or of their electrical activity is mandatory to expect elaborating noteworthy electronic devices.

In contrast, for microsystem applications, the high defect density combined with its electrical activity does not seem to be a challenging issue. Actually, some examples of microsystems like nanocantilevers or resonators have been already obtained using 3C-SiC. For such applications, the physical and mechanical properties are very motivating as they outshine those of silicon or silicon-based materials typically involved in the field of MEMS devices. These achievements have been accessible at the cost of large efforts on plasma etching. Indeed, this problem has been largely investigated in the last few decades, with probably the same interest as that of obtaining an ohmic contact for electrical applications. Even if a comprehension of the plasma etching mechanisms is not fully acquired, inductively coupled plasma etching is now a well-mastered technology. In addition, the feasibility to grow 3C-SiC/Si/3C-SiC stack on 100 silicon substrates, demonstrated recently, could open the way for the achievement of new MEMS devices, operating, for example, in harsh environments. As a consequence, for this application field, 3C-SiC is still a promising material with a huge potential remaining to be explored.

Advertisement

Acknowledgments

The authors are thankful to past and present PhD students involved in 3C-SiC in GREMAN and CRHEA laboratories (Dr. A.E. Bazin, Dr. X. Song, Dr. S. Jiao, Dr. J. Biscarrat and R. Khazaka). We also would like to acknowledge colleagues from our laboratories and collaborators from NOVASiC (Dr. M. Zielinski and Dr. T. Chassagne).

References

  1. 1. T. Daulton, T. Bernatowicz, R. Lewis, S. Messenger, F. Stadermann and S. Amari. Polytype distribution in circumstellar silicon carbide. Science 296 (2002) 1852.
  2. 2. S.E. Saddow, C.L. Frewin, M. Reyes, J. Register, M. Nezafati and S. Thomas. 3C-SiC on Si: A biocompatible material for advanced bioelectronic devices. ElectroChemical Society Transactions 61(7) (2014) 101.
  3. 3. S.E. Saddow. Silicon Carbide Biotechnology: A Biocompatible Semiconductor for Advanced Biomedical Devices and Applications. Elsevier Science (2011).
  4. 4. F. Navaa, E. Vittone, P. Vanni, G. Verzellesi, P.G. Fuochi, C. Lanzieri, M. Glaser. Radiation tolerance of epitaxial silicon carbide detectors for electrons, protons and gamma-rays. Nuclear Instruments and Methods in Physics Research A 505 (2003) 645.
  5. 5. A. Severino, C. Bongiorno, N. Piluso, M. Italia, M. Camarda, M. Mauceri, G. Condorelli, M.A. Di Stefano, B. Cafra, A. La Magna, F. La Via. High quality 6 inch (111) 3C-SiC films grown on off axis (111) Si substrates. Thin Solid Films 518 (2010) S165.
  6. 6. S. Nishino, J.A. Powell, H.A. Will. Production of large-area single-crystal wafers of cubic SiC for semiconductor devices. Applied Physics Letters 42 (1983) 460.
  7. 7. S. Nishino, H. Suhara, H. Ono, H. Matsunami. Epitaxial growth and electric characteristics of cubic SiC on silicon. Journal of Applied Physics 61 (1987) 4889.
  8. 8. P. Liaw, R.F. Davis, Epitaxial growth and characterization of beta-SiC thin films. Journal of Electrochemical Society: Solid State Science and Technology 132 (1985) 642.
  9. 9. A.J. Steckl, J.P. Li. Epitaxial growth of beta-SiC on Si by RTCVD with C3H8 and SiH4. IEEE Transactions on Electron Devices 39 (1992) 64.
  10. 10. N. Nordell, A. Schöner, S.G. Andersson. Design and performance of a new reactor for vapour phase epitaxy of 3C, 6H and 4H SiC. Journal of Electrochemical Society 143 (1996) 2910.
  11. 11. O. Kordina, L.O. Björketun, A. Henry, C. Hallin, R.C. Glass, L. Hultman, J.E. Sundgren, E. Janzen. Journal of Crystal Growth 154 (1995) 303.
  12. 12. M.L. Locatelli and S. Gamal. Silicon carbide against silicon: a comparison in terms of physical properties, technology and electrical performance of power devices. Journal de Physique III 3 (1993) 1101.
  13. 13. J.B. Casasy and R.W. Johnson. Status of silicon carbide (SiC) as a wide-bandgap semiconductor for high-temperature applications: A review. Solid-State Electronics 39(10) (1996) 1409.
  14. 14. P.G. Neudeck. Silicon Carbide Electronic Devices in Encyclopedia of Materials: Science and Technology (2001).
  15. 15. O. Kordina and S.E. Saddow. Silicon Carbide Overview in Advances in Silicon Carbide Processing and Applications. Artech House, Inc. (2004).
  16. 16. M. Eickhoff, H. Möller, J. Stoemenos, S. Zappe, G. Kroetz, M. Stutzmann. Influence of crystal quality on the electronic properties of n type 3C-SiC grown by low temperature low pressure chemical vapour deposition. Journal of Applied Physics 95 (2004) 7908.
  17. 17. G. Ferro. 3C-SiC heteroepitaxial growth on silicon: the quest for holy grail. Critical Reviews in Solid State and Materials Sciences 40(1) (2015) 56.
  18. 18. D.J. Larkin, P.G. Neudeck, J.A. Powell, L.G. Matus. Site competition epitaxy for superior silicon carbide electronics. Applied Physics Letters 65 (1994) 1659.
  19. 19. K. Kojima, S. Kuroda, H. Okumura, K. Arai. Influence of lattice polarity of nitrogen and aluminum doping on 4H-SiC epitaxial layer. Microelectronic Engineering 83 (2006) 79.
  20. 20. T. Kimoto, T. Hirao, S. Nakazawa, H. Shiomi, H. Matsunami. Homoepitaxial growth of 4H-SiC(03-38) and nitrogen doping by chemical vapour deposition. Journal of Crystal Growth 249 (2003) 208.
  21. 21. M. Zielinski, M. Portail, T. Chassagne, S. Juillaguet, H. Peyre. Nitrogen doping of 3C-SiC thin films grown by CVD in a resistive heated horizontal hot wall reactor. Journal of Crystal Growth 310 (2008) 3174.
  22. 22. U. Forsberg, O. Danielsson, A. Henry, M.K. Linnarson, E. Janzen. Aluminum doping of epitaxial silicon carbide. Journal of Crystal Growth 253 (2003) 340.
  23. 23. H. Pedersen, F.C. Beyer, A. Henry, E. Janzen. Acceptor incorporation in SiC epilayers grown at high growth rate with chloride based CVD. Journal of Crystal Growth 311 (2009) 3364.
  24. 24. R. Arvinte, M. Zielinski, T. Chassagne, M. Portail, A. Michon, P. Kwasnicki, S. Juillaguet, H. Peyre. Investigation of aluminum incorporation in 4H-SiC epitaxial layers. Materials Science Forum 806 (2015) 45.
  25. 25. G. Wagner, M. Schmidbauer, K. Irmscher, P. Tanner, R. Fornari. P type doping of epitaxial 3C-SiC layers on silicon (001). Materials Science Forum 615-617 (2009) 165.
  26. 26. S. Li, K. Kojima, Y. Ishida, S. Saito, S. Yoshida, H. Tsuchida, H. Okumura. Suppressing Al memory effect on CVD growth of 4H-SiC epilayers by adding hydrogen chloride gas. Japanese Journal of Applied Physics 53 (2014) 04EP07.
  27. 27. R. Lossy, W. Reichert, E. Obermeier. Characterization of 3C-SiC doped by nitrogen implantation. Materials Science and Engineering B 46 (1997) 156.
  28. 28. E. Taguchi, Y. Suzuki, M. Satoh. Electrical Properties of N ion implanted layer in 3C-SiC(100) grown on self-standing 3C-SiC substrate. Materials Science Forum 556-557 (2007) 579.
  29. 29. J. Wan, M.A. Capano, M.R. Melloch. Formation of low resistivity ohmic contacts to n-type 3C-SiC. Solid-State Electronics 46 (2002) 1227.
  30. 30. A.E. Bazin, J.F. Michaud, C. Autret-Lambert, F. Cayrel, T. Chassagne, M. Portail, M. Zielinski, E. Collard and D. Alquier. Ti-Ni ohmic contacts on 3C-SiC doped by nitrogen or phosphorus implantation. Materials Science and Engineering B 171 (2010) 120.
  31. 31. A. Heft, E. Wendler, T. Bachmann, E. Glaser, W. Wesch. Defect production and annealing in ion implanted silicon carbide. Materials Science and Engineering B 29 (1995) 142.
  32. 32. V. Khemka, R. Patel, N. Ramungul, T.P. Chow, M. Ghezzo, J. Kretchmer. Characterization of phosphorus implantation in 4H-SiC. Journal of Electronic Materials 28 (1999) 167.
  33. 33. R. Rurali, P. Godignon, J. Rebollo, E. Hernandez, P. Ordejon. First-principles study of n-type dopants and their clustering in SiC. Applied Physics Letters 82 (2003) 4298.
  34. 34. S. Blanqué, R. Pérez, P. Godignon, N. Mestres, E. Morvan, A. Kerlain, C. Dua, C. Brylinski, M. Zielinski, J. Camassel. Room temperature implantation and activation kinetics of nitrogen and phosphorus in 4H-SiC crystals. Materials Science Forum 457 (2004) 893.
  35. 35. X. Song, J. Biscarrat, J.F. Michaud, F. Cayrel, M. Zielinski, T. Chassagne, M. Portail, E. Collard and D. Alquier. Structural and electrical characterizations of n-type implanted layers and ohmic contacts on 3C-SiC. Nuclear Instruments and Methods in Physics Research B 269 (2011) 2020.
  36. 36. K.V. Vassilevski, N.G. Wright, I.P. Nikitina, A.B. Horsfall, A.G. O’Neill, M.J. Uren, K.P. Hilton, A.G. Masterton, A.J. Hydes and C.M. Johnson. Protection of selectively implanted and patterned silicon carbide surfaces with graphite capping layer during post-implantation annealing. Semiconductor Science and Technology 20 (2005) 271.
  37. 37. K.A. Jones, M.C. Wood, T.S. Zheleva, K.W. Kirchner, M.A. Derenge, A. Bolonikov, T.S. Sudarshan, R.D. Vispute, S.S. Hullavarad and S. Dhar. Comparison of graphite and BN/AlN annealing caps for ion implanted SiC. Materials Science Forum 556-557 (2007) 575.
  38. 38. K.A. Jones, M.C. Wood, T.S. Zheleva, K.W. Kirchner, M.A. Derenge, A. Bolonikov, T.S. Sudarshan, R.D. Vispute, S.S. Hullavarad and S. Dhar. Structural and chemical comparison of graphite and BN/AlN caps used for annealing ion implanted SiC. Journal of Electronic Materials 37 (2008) 917.
  39. 39. S.G. Sundaresan, N.A. Mahadik, S.B. Qadri, J.A. Schreifels, Y.L. Tian, Q. Zhang, E. Gomar-Nadal and M.V. Rao. Ultra-low resistivity Al+ implanted 4H-SiC obtained by microwave annealing and a protective graphite cap. Solid-State Electronics 52 (2008) 140.
  40. 40. I. Sankin, J.B. Casady, J.B. Dufrene, W.A. Draper, J. Kretchmer, J. Vandersand, V. Kumar, M.S. Mazzola and S.E. Saddow, On Development of 6H-SiC LDMOS Transistors Using Silane-ambient Implant Anneal, Solid-State Electronics 45 (2001) 1653.
  41. 41. S.E. Saddow, J.R. Williams, T.I. Smith, M.A. Capano, J.A. Cooper, M.S. Mazzola, A.J. Hsieh and J.B. Casady. High temperature implant activation in 4H and 6H-SiC in a silane ambient to reduce step bunching. Materials Science Forum 338-342 (2000) 901.
  42. 42. S. Sze and Kwok K. Ng. Physics of Semiconductor Devices, Third Edition. John Wiley & Sons (2007).
  43. 43. W. Shockley. Research and investigation of inverse epitaxial UHF power transistors. Report No. Al-TOR-64-207, A.F.A.L., Wr.-Patt. A. F. B., Ohio (1964).
  44. 44. G.K. Reeves and H.B. Harrison. Obtaining the specific contact resistance from transmission line model measurements. IEEE Electron Device Letters 3 (5) (1982) 111.
  45. 45. L.M. Porter and R.F. Davis. A critical review of ohmic and rectifying contacts for silicon carbide. Materials Science and Engineering B 34 (1995) 83.
  46. 46. F. Roccaforte, F. La Via and V. Raineri. Ohmic contacts to SiC. International Journal of High Speed Electronics and Systems 15 (2005) 781.
  47. 47. P. Pirouz, C.M. Chorey, J.A. Powell. Antiphase boundaries in epitaxially grown β-SiC. Applied Physics Letters 50 (1987) 221.
  48. 48. Y. Ishida, T. Takahashi, H. Okumura, S. Yoshida. Investigation of antiphase domain annihilation mechanism in 3C-SiC on Si substrates. Journal of Applied Physics 94 (2003) 4676.
  49. 49. K. Yagi and H. Nagasawa. Crystallinity of 3C-SiC films grown on Si substrates. Materials Science Forum 264-268 (1998) 191.
  50. 50. E.K. Polychroniadis, D. Balloud, S. Juillaguet, G. Ferro, Y. Monteil, J. Camassel, J. Stoemenos. Comparative evaluation of free standing 3C-SiC crystals. Materials Science Forum 483-485 (2005) 229.
  51. 51. Y. Li, L.J. Giling. A closer study on the self-annihilation of antiphase boundaries in GaAs epilayers. Journal of Crystal Growth 163 (1996) 203.
  52. 52. X. Song, J.F. Michaud, F. Cayrel, M. Zielinski, M. Portail, T. Chassagne, E. Collard and D. Alquier. Evidence of electrical activity of extended defects in 3C-SiC grown on Si. Applied Physics Letters 96 (2010) 142104.
  53. 53. C. Coletti, C.L. Frewin, S.E. Saddow, M. Hetzel, C. Virojanadara, U. Starke. Surface studies of hydrogen etched 3C-SiC(001) on Si(001). Applied Physics Letters 91 (2007) 061914.
  54. 54. C. Long, S.A. Ustin, W. Ho. Structural defects in 3C-SiC grown on Si by supersonic jet epitaxy. Journal of Applied Physics 86 (1999) 2509.
  55. 55. S Roy, M. Portail, T. Chassagne, J.M. Chauveau, P. Vennéguès, M. Zielinski. Transmission electron microscopy investigations of microtwins and double positioning domains in (111) 3C-SiC in relation with the carbonization conditions. Applied Physics Letters 95 (2009) 081903.
  56. 56. H. Nagasawa, K. Yagi, T. Kawahara. 3C-SiC hetero-epitaxial growth on undulant Si(001) substrate. Journal of Crystal Growth 237-239 (2002) 1244.
  57. 57. K. Yagi, T. Kawahara, N. Hatta, H. Nagasawa. Switch back epitaxy as a novel technique for reducing stacking faults in 3C-SiC. Materials Science Forum 527-529 (2006) 291.
  58. 58. J. Yun, T. Takahashi, S. Kuroda, Y. Ishida, H. Okumura. Reduction of defects propagation into 3C-SiC homoepilayers by reactive ion etching of 3C-SiC heteroepilayer substrate. Journal of Crystal Growth 308 (2007) 50.
  59. 59. G. Ferro, Y. Monteil, H. Vincent, V. Thevenot, Min Duc Tran, F. Cauwet, J. Bouix. Atomic force microscopy growth modelling of SiC buffer layers on Si(100) and quality optimization. Journal of Applied Physics 80 (1996) 4691.
  60. 60. L.O. Björketun, L. Hultman, I.P. Ivanov, Q. Wahab, J.E. Sundgren. Interfacial void formation during vapour phase growth of 3C-SiC on Si(001) and Si(111) substrates—Characterization by transmission electron microscopy. Journal of Crystal Growth 182 (1997) 379.
  61. 61. C.J. Mogab, H.J. Leamy. Conversion of Si to epitaxial SiC by reaction with C2H2. Journal of Applied Physics 45 (1974) 1075.
  62. 62. A Gupta, J. Sengupta, C. Jacob. An atomic force microscopy and optical microscopy study of various shaped void formation and reduction in 3C-SiC films grown on Si using chemical vapour deposition. Thin Solid Films 516 (2008) 1669.
  63. 63. V. Cimalla, J. Pezoldt, G. Eichhorn. A growth model for the carbonization of silicon surfaces. Materials Science and Engineering B 46 (1997) 190.
  64. 64. W. Attenberger, J. Lindner, V. Cimalla, J. Pezoldt. Structural and morphological investigations of the initial solid source molecular beam epitaxy of SiC on (111)Si. Materials Science and Engineering B 61 (1999) 544.
  65. 65. A. Severino, G. D’Arrigo, C. Bongiorno, S. Scalese, F. La Via, G. Foti. Thin crystalline 3C-SiC layers growth through carbonization of differently oriented Si substrates. Journal of Applied Physics, 102 (2007) 023518.
  66. 66. M. Portail, M. Zielinski, T. Chassagne, S. Roy, M. Nemoz. Comparative study of the role of the nucleation stage on the final crystalline quality of (111) and (100) silicon carbide films deposited on silicon substrates. Journal of Applied Physics 105 (2009) 083505.
  67. 67. M. Bosi, G. Attolini, M. Negri, C. Frigeri, E. Buffagni, C. Ferrari, T. Rimoldi, L. Cristofolini, L. Aversa, R. Tatti, R. Verucchi. Optimization of a buffer layer for cubic silicon carbide growth on silicon substrates. Journal of Crystal Growth 383 (2013) 84.
  68. 68. J.F. Michaud, S. Jiao, A.E. Bazin, M. Portail, T. Chassagne, M. Zielinski and D. Alquier. Micromachining of thin 3C-SiC films for mechanical properties investigation. Materials Research Society Symposium Proceedings 1246 (2010) 213.
  69. 69. M. Zielinski, C. Moisson, S. Monnoye, H. Mank, T. Chassagne, S. Roy, A.E. Bazin, J.F. Michaud, M. Portail. Recent advances in surface preparation of silicon carbide and other wide band gap materials. Materials Science Forum 645-648 (2010) 753.
  70. 70. J. Eriksson, M.H. Weng, F. Roccaforte, F. Giannazzo, S. Leone, V. Raineri. Toward an ideal Schottky barrier on 3C-SiC. Applied Physics Letters 95 (2009) 081907.
  71. 71. Silicon Carbide (SiC) Microelectromechanical Systems (MEMS) for Harsh Environments, edited by R. Cheung, Imperial College Press (2006).
  72. 72. M. Mehregany and C.A. Zorman. SiC MEMS: opportunities and challenges for applications in harsh environments. Thin Solid Films 355-356 (1999) 518.
  73. 73. P.M. Sarro. Silicon carbide as a new MEMS technology. Sensors and Actuators 82 (2000) 210.
  74. 74. P. Godignon. SiC materials and technologies for sensors development. Materials Science Forum 483-485 (2005) 1009.
  75. 75. N.G. Wright and A.B. Horsfall. SiC sensors: a review. Journal of Physics D: Applied Physics 40 (2007) 6345.
  76. 76. V.J. Jennings. The etching of silicon carbide. Materials Research Bulletin 4 (1969) S199.
  77. 77. T.L. Chu and R.B. Campbell. Chemical etching of silicon carbide with hydrogen. Journal of the Electrochemical Society 112 (1965) 955.
  78. 78. D. Zhuang and J.H. Edgar. Wet etching of GaN, AlN, and SiC: a review. Materials Science and Engineering R 48 (2005) 1.
  79. 79. C. Cardinaud, M.C. Peignon, P.Y. Tessier. Plasma etching: principles, mechanisms, application to micro- and nano-technologies. Applied Surface Science 164 (2000) 72.
  80. 80. A. Henry, E. Janzen, E. Mastropaolo and R. Cheung. Single crystal and polycrystalline 3C-SiC for MEMS applications. Materials Science Forum 615-617 (2009) 625.
  81. 81. E. Mastropaolo, R. Cheung, A. Henry and E. Janzén. Fabrication of beam resonators from hot-wall chemical vapour deposited SiC. Microelectronic Engineering 86 (2009) 1194.
  82. 82. M. Lazar, H. Vang, P. Brosselard, C. Raynaud, P. Cremillieu, J.-L. Leclercq, A. Descamps, S. Scharnholz and D. Planson. Deep SiC etching with RIE. Superlattices and Microstructures 40 (2006) 388.
  83. 83. M. Placidi, P. Godignon, N. Mestres, G. Abadal, G. Ferro, A. Leycuras and T. Chassagne. Fabrication of monocrystalline 3C-SiC resonators for MHz frequency sensors applications. Sensors and Actuators B 133 (2008) 276.
  84. 84. M. Mehregany, C.A. Zorman, N. Rajan and C.H. Wu. Silicon carbide MEMS for harsh environments. Proceedings of the IEEE 86(8) (1998) 1594.
  85. 85. M. Lazar, F. Enoch, F. Laariedh, D. Planson and P. Brosselard. Influence of the masking material and geometry on the 4H-SiC RIE etched surface state. Materials Science Forum 679-680 (2011) 477.
  86. 86. P.H. Yih, V. Saxena and A.J. Steckl. A review of SiC reactive ion etching in fluorinated plasmas. Physica Status Solidi (b) 202 (1997) 605.
  87. 87. L. Jiang and R. Cheung. Impact of Ar addition to inductively coupled plasma etching of SiC in SF6/O2. Microelectronic Engineering 73-74 (2004) 306.
  88. 88. W.S. Pan and A.J. Steckl, Reactive ion etching of SiC thin films by mixtures of fluorinated gases and oxygen. Journal of the Electrochemical Society 137 (1990) 212.
  89. 89. J.H. Xia, Rusli, S.F. Choy, R. Gopalakrishan, C.C. Tin, S.F. Yoon and J. Ahn. CHF3-O2 reactive ion etching of 4H-SiC and the role of oxygen. Microelectronic Engineering 83 (2006) 381.
  90. 90. L. Jiang, R. Cheung, R. Brown and A. Mount. Inductively coupled plasma etching of SiC in SF6/O2 and etch-induced surface chemical bonding modifications. Journal of Applied Physics 93 (2003) 1376.
  91. 91. G.M. Beheim and L.J. Evans. Control of trenching and surface roughness in deep reactive ion etched 4H and 6H SiC. Materials Research Society Symposium Proceedings 911 (2006) B10-15.
  92. 92. D. Ruixie, Y. Yintang and H. Ru. Microtrenching effect of SiC ICP etching in SF6/O2 plasma. Journal of Semiconductors 30 (2009) 16001.
  93. 93. H. Ru, Y. Yin-Tang and F. Xiao-Ya. Microtrenching geometry of 6H-SiC plasma etching. Vacuum 84 (2010) 400.
  94. 94. W. Chang and C. Zorman. Determination of Young’s moduli of 3C (110) single-crystal and (111) polycrystalline silicon carbide from operating frequencies. Journal of Materials Science 43 (2008) 4512.
  95. 95. R. Boubekri, E. Cambril, L. Couraud, L. Bernardi, A. Madouri, M. Portail, T. Chassagne, C. Moisson, M. Zielinski, S. Jiao, J.-F. Michaud, D. Alquier, J. Bouloc, L. Nony, F. Bocquet, C. Loppacher, D. Martrou and S. Gauthier. Electrothermally driven high-frequency piezoresistive SiC cantilevers for dynamic atomic force microscopy. Journal of Applied Physics 116 (2014) 054304.
  96. 96. M.B.J. Wijesundara and R. Azevedo. Silicon carbide microsystems for harsh environments. MEMS Reference Shelf 22. Springer (2011).
  97. 97. R. Anzalone, C. Locke, A. Severino, D. Rodilosso, C. Tringali, G. Foti, S.E. Saddow, F. La Via and G. D’Arrigo. Residual stress measurement on hetero-epitaxial 3C-SiC films. Materials Science Forum 615-617 (2009) 629.
  98. 98. R. Anzalone, M. Camarda, G. D’Arrigo, C. Locke, A. Canino, N. Piluso, A. Severino, A. La Magna, S.E. Saddow and F. La Via. Advanced stress analysis by micro-structures realization on high quality hetero-epitaxial 3C-SiC for MEMS application. Materials Science Forum 679-680 (2011) 133.
  99. 99. N. Moronuki, M. Kojima and A. Kakuta. Single-crystal SiC thin-film produced by epitaxial growth and its application to micro-mechanical devices. Thin Solid Films 516 (2008) 5344.
  100. 100. S. Sundararajan and B. Bhushan. Micro/nanotribological studies of polysilicon and SiC films for MEMS applications. Wear 217 (1998) 251.
  101. 101. B. Pecholt and P. Molian. Nanoindentation of laser micromachined 3C-SiC thin film micro-cantilevers. Materials and Design 32 (2011) 3414.
  102. 102. C. Locke, G. Kravchenko, P. Waters, J.D. Reddy, K. Du, A.A. Volinsky, C.L. Frewin and S.E. Saddow, 3C-SiC Films on Si for MEMS applications: Mechanical properties. Materials Science Forum 615-617 (2009) 633.
  103. 103. W.C. Oliver and G.M. Pharr. Measurement of hardness and elastic modulus by instrumented indentation: Advances in understanding and refinements to methodology. Journal of Materials Research 19 (2004) 3.
  104. 104. K.M. Jackson, J. Dunning, C.A. Zorman, M. Mehregany and W.N. Sharpe Jr. Mechanical properties of epitaxial 3C silicon carbide thin films. Journal of Micromechanical Systems 14(4) (2005) 664.
  105. 105. Robert D. Blevins, in: Formulas for Natural Frequency and Mode Shape. Krieger Publishing Company (1979).
  106. 106. M. Zielinski, J.F. Michaud, S. Jiao, T. Chassagne, A.E. Bazin, A. Michon, M. Portail and D. Alquier. Experimental observation and analytical model of the stress gradient inversion in 3C-SiC layers on silicon. Journal of Applied Physics 111 (2012) 53507.
  107. 107. D. Herman, M. Gaitan and D. DeVoe. MEMS test structures for mechanical characterization of VLSI thin films, in: Proceedings of the Annual Meeting of the Society for Experimental Mechanics, Portland (2001).
  108. 108. L. Tong, M. Mehregany and L.G. Matus. Mechanical properties of 3C silicon carbide. Applied Physics Letters 60 (1992) 2992.
  109. 109. R. Anzalone, M. Camarda, A. Canino, N. Piluso, F. La Via and G. D’Arrigo. Defect influence on heteroepitaxial 3C-SiC Young’s modulus. Electrochemical and Solid-State Letters 14(4) (2011) H161.
  110. 110. R. Anzalone, N. Piluso, A. Marino, A. Sciuto and G. D’Arrigo. Very low dose ion-implantation effect on heteroepitaxial 3C-SiC mechanical properties. Physica Status Solidi A 209 (2012) 2235.
  111. 111. J.F. Michaud, M. Portail, T. Chassagne, M. Zielinski and D. Alquier. Original 3C-SiC micro-structure on a 3C-SiC pseudo-substrate. Microelectronic Engineering 105 (2013) 65.
  112. 112. R. Anzalone, M. Camarda, A. Severino, N. Piluso and F. La Via. Curvature evaluation of Si/3C-SiC/Si hetero-structure grown by chemical vapor deposition. Materials Science Forum 778-780 (2014) 255.

Written By

Jean-François Michaud, Marc Portail and Daniel Alquier

Submitted: 17 November 2014 Reviewed: 10 June 2015 Published: 17 September 2015