Open access peer-reviewed chapter

Interconnect Challenges and Carbon Nanotube as Interconnect in Nano VLSI Circuits

Written By

Davood Fathi and Behjat Forouzandeh

Published: 01 March 2010

DOI: 10.5772/39430

From the Edited Volume

Carbon Nanotubes

Edited by Jose Mauricio Marulanda

Chapter metrics overview

4,084 Chapter Downloads

View Full Metrics

Abstract

This chapter discusses about the behavior of Carbon Nanotube (CNT) different structures which can be used as interconnect in Very Large Scale (VLSI) circuits in nanoscale regime. Also interconnect challenges in VLSI circuits which lead to use CNT as interconnect instead of Cu, is reviewed. CNTs are classified into three main types including Single-walled Carbon Nanotube (SWCNT), CNT Bundle, and Multi-walled Carbon Nanotube (MWCNT). Because of extremely high quantum resistance of a SWCNT which is about 6.45 kΩ, rope or bundle of CNTs are used which consist of parallel CNTs in order to overcome the high delay time due to the high intrinsic (quantum) resistance. Also MWCNTs which consist of parallel shells, present much less delay time with respect to SWCNTs, for the application as interconnects. In this chapter, first a short discussion about interconnect challenges in VLSI circuits is presented. Then the repeater insertion technique for the delay reduction in the global interconnects will be studied. After that, the parameters and circuit model of a CNT will be discussed. Then a brief review about the different structures of CNT interconnects including CNT bundle and MWCNT will be presented. At the continuation, the time domain behavior of a CNT bundle interconnect in a driver-CNT bundle-load configuration will be discussed and analyzed. In this analysis, CNT bundle is modeled as a transmission line circuit model. At the end, a brief study of stability analysis in CNT interconnects will be presented.

1. Interconnect Challenges in VLSI Circuits

As interconnect feature sizes shrink, copper resistivity increases due to surface and grain boundary scatterings and also surface roughness [1]. Furthermore, wires, especially power and ground lines, are becoming more and more vulnerable to electromigration because of rapid increases in current densities [2]. The resistance of copper interconnects, with cross-sectional dimensions of the order of the mean free path of electrons (~40 nm in Cu at room temperature) in current and imminent technologies [2], is increasing rapidly under the combined effects of enhanced grain boundary scattering, surface scattering and the presence of the highly resistive diffusion barrier layer [3]. The steep rise in parasitic resistance of copper interconnects poses serious challenges for interconnect delay [2] (especially at the global level where wires traverse long distances) and for interconnect reliability [4], hence it has a significant impact on the performance and reliability of VLSI circuits. In order to alleviate such problems, changes in the material used for on-chip interconnections have been sought even in earlier technology generations, for example the transition from aluminum to copper some years back [3].

Carbon nanotubes (CNTs) exhibit a ballistic flow of electrons with electron mean free paths of several micrometers, and are capable of conducting very large current densities [3]. They are therefore proposed as potential candidates for signal and power interconnections [5], [6]. Because of their extremely desirable properties of high mechanical and thermal stability, high thermal conductivity and large current carrying capacity [7], CNTs have aroused a lot of research interest in their applicability as VLSI interconnects of the future. Depending on their chirality (the direction along which the graphene sheets are rolled up), CNTs demonstrate either metallic or semiconducting properties. Fig. 1 shows different structures depending on the chirality

Figure 1.

Two different structures of carbon nanotubes for an armchair-type nanotube and a zigzag-type nanotube [8].

Carbon nanotubes are also classified into single-walled and multi-walled nanotubes.

Advertisement

2. Repeater Insertion as a Technique for the Delay Reduction

With the technology scaling in very deep submicron (VDSM) CMOS circuits, the gate delay decreases rapidly, while the delay of global interconnects tends to increase because of increasing their aspect ratio (thickness to width ratio) with scaling [2], [9], [10]. The repeater (buffer) insertion technique is generally used to reduce the delay of long (semi global) and global interconnects [9], [11]-[16]. An analytical model for obtaining the optimal buffer size and segment length for an equal partitioning network, in which the buffers sizes and segments lengths are constant, has been presented [12], [14], [17].

In [18] we have discussed about the optimization of global interconnects using unequal repeater (buffer) partitioning technique. This method which is discussed and reviewed in this chapter, is based on the segmentation of a long global interconnect into unequal parts, and inserting buffers with unequal sizes between them. The related structure is named as "Unequal buffer partitioning network" against "Equal buffer partitioning network" that was mentioned above. The optimum delay is a function of various parameters such as the buffers sizes, the interconnect segments lengths, the load and so on [9], [11], [12], [15]-[17], [19]-[22]. It is shown that for the optimization of a buffer inserted interconnect behavior, the energy-delay product minimization is better than the delay minimization. Thus in this research, the energy-delay product has been chosen as target function for the minimization.

There are different algorithms for minimizing a function, which in this chapter, the genetic algorithm (GA) using MATLAB [23] has been used for minimizing the energy-delay product function.

2.1. Equal Buffer Partitioning Network

Fig. 2 shows a global interconnect with the buffer insertion, in which each segment has equal length and all the buffers have the same size

Figure 2.

Equal buffer partitioning network [18]

where r, c, and h are the interconnect resistance per unit length, the interconnect capacitance per unit length, and each segment length of the interconnect, respectively. Also k, n and C L are the buffers size, the number of buffers, and the load capacitance, respectively. The total time delay of global line interconnect including the buffers and load, using Elmore relation [12], [21] will be

D e l a y 1 = n [ R B o ( C B o + c h ) + 1 2 r c h 2 ]   + ( n 1 ) ( R B o + r h ) C B i + ( R B o + r h ) C L E1

where R Bo =r 0 /k, C Bi =kc 0 , and C Bo =kc p are the buffers output resistance, the buffers input capacitance, and the buffers output capacitance, respectively. Also r 0 , c 0 , and c p are similar parameters of the minimum sized repeater (buffer), respectively. We can express the total energy as

E n e r g y 1 = n [ c h + k ( c 0 + c p ) + C L n ] V d d 2 E2

where V dd is the power supply voltage. The energy-delay product will be written as

E D P 1 = E n e r g y 1 × D e l a y 1 E3
E D P 1 = n 2 [ c h + k ( c 0 + c p ) + C L n ]   × [ r 0 k ( k c p + n 1 n k c 0 + C L n + c h ) + r h ( n 1 n k c 0 + C L n + 1 2 c h ) ] V d d 2 E4

2.2. Unequal Buffer Partitioning Network

Fig. 3 shows a global interconnect with buffer insertion, in which each segment length is a times of the previous segment length, and each buffer size is f times of the previous buffer size, respectively

Figure 3.

Unequal buffer partitioning network [18]

where h=l(1-a)/(1-a m ) which l is the total length of line (interconnect), k is the first buffer size, and m is the number of buffers. The other parameters are the same as in Fig.2. The total time delay of global interconnect in this structure, including the buffers and load, using Elmore relation [12], [21] will be

D e l a y 2 = m r 0 c p + ( m 1 ) r 0 c 0 f + ( 1 ( a f ) m 1 a f ) r 0 k c h + ( 1 ( a f ) m 1 1 a f ) r h k f c 0 + 1 2 ( 1 a 2 m 1 a ) r c h 2 + ( r 0 k f m 1 + r a m 1 h ) C L E5

where r 0 , c 0 , and c p are the output resistance, the input capacitance, and the output capacitance of the minimum sized buffer, respectively. We can express the total energy as

E n e r g y 2 = [ c l + ( 1 f m 1 f ) k ( c 0 + c p ) + C L ] V d d 2 E6

Thus the energy-delay product for "Unequal partitioning network" will be written as

E D P 2 = E n e r g y 2 × D e l a y 2 , E D P 2 = [ c l + ( 1 f m 1 f ) k ( c 0 + c p ) + C L ] E7
× [ m r 0 c p + ( m 1 ) r 0 c 0 f + ( 1 ( a f ) m 1 a f ) r 0 k c h + ( 1 ( a f ) m 1 1 a f ) r h k f c 0 + 1 2 ( 1 a 2 m 1 a ) r c h 2 + ( r 0 k f m 1 + r a m 1 h ) C L ] V d d 2 E8

2.3. Optimization Procedure

In this section, EDP

Energy-Delay Product

functions for the two networks "Equal partitioning network" and "Unequal partitioning network", which are defined and obtained in sections II and III, are minimized using the genetic algorithm (GA) of MATLAB [23]. This procedure is performed on the two networks for the three technology nodes 65, 90, 130 nm, which the specifications of global interconnect and the minimum sized repeater (buffer) in each technology node have been extracted from ITRS

International Technology Roadmap for Semiconductors

[2], [9]. Also the interconnect capacitance per unit length is obtained using the formulations presented in [9]. Moreover, in each step of the optimization (minimization) procedure, the load capacitance has been taken as a parameter which varies from one to hundred times of the minimum sized buffer output capacitance.

In Figs. 4-9, the propagation delay improvement for "Unequal partitioning network" respect to "Equal partitioning network", versus the global interconnect length, and for three technology nodes 65, 90, 130 nm, have been plotted whereas the capacitive load varies from one to hundred times of the minimum sized buffer output capacitance (c p ). The genetic algorithm (GA) of MATLAB [23] has been used as a tool for minimizing the energy-delay product (EDP) for the two networks at different technology nodes and various loads. For obtaining the correct results for each step of the minimization procedure, the algorithm has been done 1000 times in each step and the least value has been chosen as the best answer.

It is found from Figs. 4-9 that the improvement of the propagation delay, in unequal partitioning network is more than equal partitioning network. This improvement is obvious for the technology nodes 90, 130 nm and goes high with increasing the load capacitance. Also for technology node 65 nm, the delay improvement will be achieved for the high values of the load capacitance, which is cleared from Figs. 8, 9.

Figure 4.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =c p [18].

Figure 5.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =10c p [18].

Figure 6.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =30c p [18].

Figure 7.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =50c p [18].

Figure 8.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =70c p [18].

Figure 9.

The delay improvement of unequal partitioning network respect to equal partitioning network, for C L =100c p [18].

Advertisement

3. Circuit Model and Parameters for CNT

Fig. 10 depicts the equivalent circuit for an isolated single-walled carbon nanotube (SWCNT) of length less than the mean free path of electrons in a CNT [24], [25].

Figure 10.

Equivalent circuit model for an isolated SWCNT of length less than the mean free path of electrons, assuming ideal contacts [25].

In this figure, R F is the fundamental (quantum) resistance, L CNT is the total inductance, and C Q and C E are the quantum and electrostatic capacitances, respectively. In the following subsections, these parameters and their related formulations will be discussed.

3.1. CNT Resistance

Due to spin degeneracy and sub-lattice degeneracy of electrons in graphene, each nanotube has four conducting channels in parallel [3], [26]. Hence, the conductance of an isolated ballistic single-walled CNT (SWCNT) assuming perfect contacts, is 4e 2 /h = 155 µS, which yields a resistance of 6.45 KΩ [8], [24]. This is the quantum resistance associated with a SWCNT that cannot be avoided [3], [27]. This fundamental resistance, as shown in Fig. 11, is equally divided between the two contacts on either side of the nanotube and can be expressed as [27]

R F = h 4 e 2 E9

where h is plank’s constant and e is electron charge. The mean free path (MFP) of electrons in a CNT is typically 1 μm [3], [8], [28]. For CNT lengths less than λ CNT , electron transport within the nanotube is essentially ballistic [3]. In this case, the resistance of nanotube with ideal coupling to the two metal contacts at its ends is independent of length and is given by h/(4e 2 ) ≈ 6.45 KΩ [26], [27]. However, for lengths greater than the mean free path, scattering leads to an additional ohmic resistance which increases with length as [29]

R C N T = R F λ C N T = ( h 4 e 2 ) λ C N T E10

where and λ CNT are the length and the mean free path of CNT, respectively. This has also been confirmed by experimental observations [3], [28]. It should be noted that this additional scattering resistance would appear as a distributed resistance per unit length [24] in the equivalent circuit, to account for resistive losses along the CNT length

R C N T ( p . u . l ) = R F λ C N T = ( h 4 e 2 ) 1 λ C N T E11

It is necessary to note that there are inconsistent results published in literature, both experimental and theoretical, regarding the dependency of resistance on length [30]. Some of these results indicate an exponential relationship [31], [32]

R = R F exp ( 2 λ C N T ) E12

and some show a linear dependency [28], [33]

R = R F ( 1 + λ C N T ) E13

It can be observed from (10)-(13) that the value of mean free path (MFP) plays an important role in determining the resistance of the carbon nanotube. It has been proven that the MFP of a CNT, both for metallic and semiconductor types, is proportional to the diameter [34], [35]. For the MFP of metallic CNTs, we have [34], [36]

λ C N T = ( 3 π ϕ 2 2 σ ε 2 + 9 σ ϕ 2 ) . D E14

where D is the diameter of the CNT, φ is the nearest neighbor tight-binding parameter, ε is the on-site energies, and σ ε and σ ϕ are the variances of ε and φ, respectively. For the MFP of semiconducting CNTs, we have [34], [35]

λ C N T = ( v F α T ) . D E15

where v F is the Fermi velocity, α is the coefficient of scattering rate, and T is the temperature. For a typical SWCNT with diameter 1 nm, the value of MFP has been reported about 1 μm based on measurements [37], [38]. Thus irrespective of the nature of SWCNTs (shells in an MWCNT), metallic or semiconducting, we can assume λ C N T 1000 D

Fig. 11 shows the equivalent distributed circuit model of an individual CNT (shell in a multi-walled CNT)

Figure 11.

Equivalent distributed circuit model of an individual CNT [34].

In this figure, R mc is the imperfect contact resistance, R Q is the quantum resistance (as the fundamental resistance R F in Fig. 10), R S is the scattering-induced resistance (as R CNT in (10), (11)), L K and L M are the kinetic and magnetic inductances, respectively, and C Q and C E are the quantum and electrostatic capacitances, respectively.

The imperfect metal-to-nanotube contacts at each of the two ends of the nanotube, give rise to an additional resistance typically about 100 KΩ in series with the fundamental resistance R F [24], [39].

3.2. CNT Capacitance

The total capacitance of a CNT arises from two sources: the electrostatic capacitance which is the intrinsic plate capacitance of an isolated CNT, and the quantum capacitance which accounts for the quantum electrostatic energy stored in the nanotube when it carries current [3], [26].

The electrostatic capacitance is calculated by treating the CNT as a thin wire placed away from a ground plane, as shown in Fig. 12, and its value per unit length is given by [3], [26], [30]

C E = 2 π ε cosh 1 ( 2 y d ) 2 π ε ln ( y d ) E16

where εd and y are the dielectric permittivity, the CNT diameter, and the distance of CNT from the ground plane, respectively.

Figure 12.

Structure of an isolated CNT over a ground plane.

The electron cloud in a CNT can be assumed to be a quantum electron gas in one dimension. Hence, this follows Pauli’s exclusion principle and it is not possible to add an electron with energy less than the Fermi energy of the system (E F) [40]. The quantum capacitance is used to model the energy needed to add an electron at an available quantum state above the Fermi level [26]. By equating this energy to that of an effective capacitance, the expression for the quantum capacitance per unit length is obtained as [25]

C Q = 2 e 2 h v F E17

where v F is the Fermi velocity in graphite [40] and is approximately 8×105 m/s [25]. Also for a CNT, C Q is approximately 100 aF/μm [25], [26]. Since a CNT has four conducting channels as described before, the effective quantum capacitance resulting from four parallel capacitances is given by 4 C Q .

In [34], the following relations for the quantum capacitance per unit length of a shell in a MWCNT have been expressed, according to the result in [25]

C Q / c h a n n e l = 2 × 2 e 2 h v F 193 aF/ μ m E18
C Q / s h e l l = C Q / c h a n n e l × N s h e l l ( D ) E19

where

N s h e l l ( D ) a . D + b            D 3  nm  E20

is the number of conducting channels (spin degeneracy is already considered) in any shell, D is the diameter of the shell, a = 0.0612 nm-1, and b = 0.425.

On the other hand, in [38], the following relation for the number of conducting channels in any shell has been reported

N s h e l l ( D ) a . D + b            D 3  nm                  2 3                    D 6  nm E21

It should be noted that the error introduced by (20), (21), due to different chiralities, is within 15% for all values of D [34], [38]. Note that the two regions in (21) have an overlap, and for 3 nm < D < 6 nm, both constant and linear functions can be used without any considerable error [38].

3.3. CNT Inductance

The total inductance of a CNT (LCNT in Fig. 10) arises from two sources: the magnetic inductance and the kinetic inductance (L M and L K in Fig. 11). In the presence of a ground plane, the magnetic inductance per unit length is given by [25], [40]

L M = μ 2 π cosh 1 ( 2 y d ) μ 2 π ln ( y d ) E22

For a typical situation, the nanotube is placed on top of an insulating substrate (typically silicon dioxide), with a conducting medium below. A typical oxide thickness is between 10 nm and 1 μm with a typical nanotube radius of 1 to 2 nm. It can be noted that the magnetic inductance is a relatively weak function of the factor (y/d) and for typical geometries, it can be estimated to be around 1 pHm [40], [41].

In one-dimensional CNT conductors, apart from the magnetic inductance, another inductive component appears due to the kinetic energy of the electrons. The details of its derivation can be obtained in [40]-[42]. The kinetic inductance per unit length can be expressed as [25], [40], [41]

L K = h 2 e 2 v F E23

It is necessary to note that the four parallel conducting channels in a CNT give rise to an effective kinetic inductance of LK /4. Also as it has been shown from (23), the kinetic inductance per unit length for a one dimensional CNT conductor is around 16 nH/μm [26], [40], more than 4 orders of magnitude larger than its magnetic counterpart L M (≈ 1 pH/μm) [30], [40], [41], and will essentially play a vital role in high frequency applications [40].

In [34], the following relations for the kinetic inductance per unit length of a shell in a MWCNT have been expressed, according to the result in [25]

L K / c h a n n e l = h 2 e 2 v F × 1 2 8 nH/ μ m E24
L K / s h e l l = L K / c h a n n e l N s h e l l ( D ) E25

where Nshell (D) has been defined in (20), (21).

Advertisement

4. Different Structures of CNT as Interconnect

4.1. CNT Bundle as Interconnect

While SWCNTs have desirable material properties, individual nanotubes suffer from an intrinsic ballistic resistance of approximately 6.5 kΩ that is not dependent on the length of the nanotube [43]. As a result, the high resistance associated with an isolated CNT, causes excessive delay for interconnect applications. To alleviate the intrinsic resistance problem, bundles or ropes of CNTs conducting current in parallel, have been proposed and physically demonstrated as a possible interconnect medium for local, intermediate, and global interconnects [3], [43]. Fig. 13 shows a CNT bundle interconnect structure consists of a signal line and two ground return paths

Figure 13.

System of SWCNT interconnect bundles implementing a signal line and two adjacent ground return paths [43].

Due to the lack of control on chirality, any bundle of CNTs consists of metallic as well as semi-conducting nanotubes. The required relations for the parameters of CNT bundles including the magnetic and kinetic inductances, the electrostatic and quantum capacitances, the fundamental and scattering resistances, can be obtained from [5]. In section 5 the time domain behaviour of a CNT bundle as interconnect is discussed based on [44].

4.2. Multi-walled CNT as Interconnect

Fig. 14 shows a geometric structure of a Multi-Walled carbon nanotube (MWCNT) over a ground plane.

Figure 14.

Structure of a Multi-Walled CNT over a ground plane.

In this figure, Din and Dout are the diameter of inner shell and the diameter of outer shell, respectively, and y is the height of inner shell from the ground plane. Recently wide spread studies regarding the benefits of the performance of MWCNTs as interconnect in comparison with CNT bundles and Cu have been performed. In [34] the performance of MWCNT interconnects has been analyzed and their circuit modelling has been discussed. Although MWCNT has an important role in the interconnect applications, the main scope of this chapter which follows in the subsequent section, is dedicated to the analysis of the behaviour of CNT bundle interconnects.

Advertisement

5. Time Domain Response

In [44] we have discussed about the time domain analysis of a CNT bundle interconnect in a driver-interconnect-load configuration and a new relation for the input-output transfer function in the related configuration has been extracted. A review of the discussion presented in [44] is brought in this section. Fig. 15 shows a CNT bundle interconnect with resistance, capacitance and inductance per unit length of R S , C and L respectively, driven by a repeater of output resistance R tr and output parasitic capacitance C out , and driving an identical repeater with input capacitance equal to the load capacitance C L .

Figure 15.

Equivalent circuit of a driver-CNT bundle-load configuration, based on transmission line modeling [44].

In this figure, the CNT bundle interconnect has been modelled as a transmission line. For calculating the input-output transfer function of the configuration in Fig. 15, we need to derivate the total transmission parameter matrix. Using the ABCD transmission parameter matrix for a uniform RLC transmission line of length as given in [45]-[47], we can express the total ABCD transmission parameter matrix of the configuration in Fig. 15 as

T t o t a l = [ 1      R t r   0      1 ] [ 1         0 s C o u t   1 ] [ 1       R e x   0       1 ] [ cosh ( θ T )                 Z 0 T sinh ( θ T ) 1 Z 0 T sinh ( θ T )             cosh ( θ T ) ] [ 1          R e x 0          1 ] E26

where R e x = ( R C + R F ) / 2 , Z 0 T = ( R S + s L ) / ( s C ) θ T = ( R S + s L ) s C , and s = j ω is the complex frequency. The elements of matrix T total can be written, using (26), as

A T = ( 1 + s R t r C o u t ) cosh ( θ T ) + ( R t r + R e x + s R t r R e x C o u t ) Z 0 T sinh ( θ T ) E27
B T = [ R t r + 2 R e x + 2 s R t r R e x C o u t ] cosh ( θ T ) + [ Z 0 ( 1 + s R t r C o u t ) + R e x ( R t r + R e x + s R t r R e x C o u t ) Z 0 T ] sinh ( θ T ) E28
C T = s C o u t cosh ( θ T ) + 1 + s R e x C o u t Z 0 T sinh ( θ T ) E29
D T = ( 1 + 2 s R e x C o u t ) cosh ( θ T ) + [ s C o u t Z 0 T + R e x ( 1 + s R e x C o u t ) Z 0 T ] sinh ( θ T ) E30

Therefore the input-output transfer function of the configuration in Fig. 15 can be written as

H ( s ) = V 0 ( s ) V i ( s ) = 1 A T + s C L B T   = ( [ 1 + s ( R t r C o u t + R t r C L + 2 R e x C L ) + s 2 ( 2 R t r R e x C o u t C L ) ] cosh ( θ T ) + [ R t r + R e x Z 0 T + s ( R t r R e x C o u t + R e x ( R t r + R e x ) C L Z 0 T + Z 0 T C L ) + s 2 ( R t r R e x 2 C o u t Z 0 T + Z 0 T R t r C o u t ) C L ] sinh ( θ T ) ) 1 E31

For simulation purposes, we need to extract a parametric linear approximation for (31). For this purpose, we need to calculate the equivalent linear terms for cosh ( θ T ) and sinh ( θ T ) / Z 0 T , and put them in (31). Using the relation for the transfer function of a driver-CNT interconnect-load configuration, as discussed in [47], and rigorous calculations, we can extract the following linear expressions

cosh ( θ T ) = 1 + ( R S C 2 2 ! ) s + ( L C 2 2 ! + R S 2 C 2 4 4 ! ) s 2 + ( 2 R S L C 2 4 4 ! + R S 3 C 3 3 6 ! ) s 3 + ( L 2 C 2 4 4 ! + 3 R S 2 L C 3 6 6 ! + R S 4 C 4 8 8 ! ) s 4 E32
sinh ( θ T ) Z 0 T = s C [ 1 + R S C 2 3 ! s + ( L C 2 3 ! + R S 2 C 2 4 5 ! ) s 2 + ( R S 3 C 3 6 7 ! + 2 R S L C 2 4 5 ! ) s 3 ] E33

With substituting the two terms cosh ( θ T ) and sinh ( θ T ) / Z 0 T in (31), by (32) and (33), we can obtain the linear parametric equivalent for the transfer function of (31) as

H ( s ) = 1 1 + a 1 s + a 2 s 2 + a 3 s 3 + a 4 s 4 + a 5 s 5 + a 6 s 6 E34

where

a 1 = R t r ( C o u t + C + C L ) + R e x ( C + 2 C L ) + R S ( C 2 ! + C L ) E35
a 2 = R e x R t r ( C o u t C + C L C + 2 C o u t C L ) + R t r R S C 2 ( C o u t C 2 ! + C 2 2 3 ! + C o u t C L + C C L 2 ! )          + R e x R S C 2 ( C 3 ! + C L ) + R S 2 C 2 4 4 ! + L C 2 2 ! + R e x 2 C C L + R S 2 C 3 C L 3 ! + L C L E36
a 3 = 2 R S L C 2 4 4 ! + R S 3 C 3 6 6 ! + ( R e x + R t r + R S C C L ) ( L C 2 3 3 ! + R S 2 C 3 5 5 ! )          + [ R t r ( C o u t + C L ) + 2 R e x C L ] ( L C 2 2 ! + R S 2 C 2 4 4 ! )          + R S C 2 3 3 ! ( R e x R t r ( C o u t + C L ) + R e x 2 C L + L C C L + R S C R t r C o u t L )          + R e x R t r C o u t C C L ( R e x + R S ) + R t r C o u t L C L E37
a 4 = L 2 C 2 4 4 ! + 3 R S 2 L C 3 6 6 ! + R S 4 C 4 8 8 ! + [ R t r ( C o u t + C L ) + 2 R e x C L ] ( 2 R S L C 2 4 4 ! + R S 3 C 3 6 6 ! ) + ( R t r + R e x + R S C C L ) ( 2 R S L C 3 5 5 ! + R S 3 C 4 7 7 ! ) + 2 R t r R e x C o u t C L ( L C 2 2 ! + R S 2 C 2 4 4 ! ) + ( R t r R e x ( C o u t + C L ) + R e x 2 C L + L C C L + R S C R t r C o u t C L ) ( L C 2 3 3 ! + R S 2 C 3 5 5 ! )          + R S C 2 3 3 ! ( R t r R e x 2 C o u t C L + L C R t r C o u t C L ) E38
a 5 = [ R t r ( C o u t + C L ) + 2 R e x C L ] ( L 2 C 2 4 4 ! + 3 R S 2 L C 3 6 6 ! + R S 4 C 4 8 8 ! )                 + 2 R t r R e x C o u t C L ( 2 R S L C 2 4 4 ! + R S 3 C 3 6 6 ! ) + ( R t r R e x ( C o u t + C L ) + R e x 2 C L + L C C L + R S C R t r C o u t C L ) ( 2 R S L C 3 5 5 ! + R S 3 C 4 7 7 ! )         + ( R t r R e x 2 C o u t C L + L C R t r C o u t C L ) ( L C 2 3 3 ! + R S 2 C 3 5 5 ! ) E39
a 6 = 2 R t r R e x C o u t C L ( L 2 C 2 4 4 ! + 3 R S 2 L C 3 6 6 ! + R S 4 C 4 8 8 ! )          + ( R t r R e x 2 C o u t C L + L C R t r C o u t C L ) ( 2 R S L C 3 5 5 ! + R S 3 C 4 7 7 ! ) E40

Fig. 16 shows the step response of configuration in Fig. 15, for 32 nm technology node, using our extracted linear transfer function of (34), and HSPICE simulation.

The repeater size has been assumed 174 times larger than the minimum sized repeater, which its parameters have been extracted from ITRS 2007 [2]. Also the load capacitance has been considered equal to the input capacitance of repeater. Recall that λ C N T 1000 D [34] where D is the diameter of each individual CNT, the mean free path of CNT (λ CNT ) has been assumed 1 μm. As it is cleared from Fig. 16, there is an excellent match between the result of our extracted parametric transfer function and HSPICE simulation result. Fig. 17 shows the step response of configuration in Fig. 15, using our extracted linear parametric transfer function of (34), for the contact resistance values from 1 kΩ to 50 kΩ. As shown in Fig. 17, the propagation delay increases from 0.138 ns to 5.58 ns, with the increase of contact resistance value from 1 kΩ to 50 kΩ.

Figure 16.

The step response of configuration in Fig. 15, using our extracted linear parametric transfer function, and HSPICE simulation. In this figure, the number of transmission line model sections for HSPICE simulation, has been considered 400 [44].

Figure 17.

The step response of configuration in Fig. 15, using our extracted linear parametric transfer function, for various values of the contact resistance [44].

Fig. 18 shows the propagation delay of configuration in Fig. 15, using our extracted linear parametric transfer function of (34), versus the contact resistance value, and for the CNT bundle lengths 50 μm, 200 μm, 500 μm and 1000 μm.

Figure 18.

The propagation delay of configuration in Fig. 15, using our new linear parametric transfer function, versus the contact resistance value, for the CNT bundle lengths: (a) 50 μm, (b) 200 μm, (c) 500 μm, (d) 1000 μm [44].

In this figure, the diameter of each individual CNT has been chosen 1 nm, and therefore as discussed before, the mean free path of CNT will be 1 μm. As shown in Fig. 18, for the length of CNT bundle equal to 50 μm, the propagation delay changes from 0.138 ns to 5.58 ns for the contact resistance values from 1 kΩ to 50 kΩ, i.e. a variation range of 39.43 times the minimum value. The related delay variation ranges for the length values 200 μm, 500 μm, and 1000 μm, are 31.37, 22.61, and 15.42 times the minimum value, respectively. This means that, the impact of the contact resistance on the propagation delay, decreases with the increase of the bundle length. The reason is that, with the increase of the bundle length, the role of scattering resistance which increases with the length [29], would be more important.

In Fig. 19, the nyquist diagrams for a driven CNT bundle interconnect, versus the length of CNT bundle and the diameter of each individual CNT, have been plotted using MATLAB [23].

Figure 19.

The nyquist stability diagrams for a driven CNT bundle interconnect; (a) versus length; (b) versus diameter. In this figure, the space between two adjacent CNTs has been chosen as 0.34 nm, and the length, the width and the thickness of CNT bundle, have been considered 10 μm, 50 nm and 125 nm, respectively. Also the load capacitance has been assumed equal to 5 fF [44].

As shown in Fig. 19 (a), by increasing the length of CNT bundle, the complex point (-1,0) goes toward outside the diagram. So, by increasing the length of CNT bundle, the system becomes more stable. As shown in Fig. 19 (b), by increasing the diameter of each individual CNT, the complex point (-1,0) goes toward outside the diagram and then, the diagram goes farther from this point. So, by increasing the diameter of each individual CNT, the system becomes more stable. It should be noted that in simulations of Fig. 19, the driver has been considered ideal with perfect contacts, and all individual CNTs in the bundle have been assumed metallic. A more detailed discussion about the stability analysis in CNT interconnects has been presented in [48].

Advertisement

6. Summary

In this chapter, we have studied interconnect challenges and the behaviour of carbon nanotube (CNT) as interconnect in VLSI circuits. In this review we discussed about the two main structures of CNT, including CNT bundles and MWCNTs, which achieve good performance due to the parallel SWCNTs in a bundle or the parallel shells in a MWCNT. These optimized configurations give the better characteristics including decreased delay time in comparison with SWCNTs, which is a vital parameter for the application as interconnect. The repeater (buffer) insertion technique that is used for the reduction of delay time in the global interconnects, has been discussed. Also in this chapter, we analyzed the time domain response of CNT bundle interconnect in a driver-interconnect-load configuration, based on the formulations and discussions we have presented in the reference [44]. At the continuation, we discussed briefly about the stability concept in CNT bundle interconnects, versus the length and diameter of each CNT in a CNT bundle.

References

  1. 1. Naeemi A. Sarvari R. Meindl J. D. 2005 Performance“.ComparisonBetween.CarbonNanotube.CopperInterconnects.forGigascale.Integration. G. S.I)”I. E. E. E.ElectronDevice.Letters vol. , 26 2 84 86Feb..
  2. 2. International Technology Roadmap for Semiconductors 2007 (ITRS),.
  3. 3. Srivastava N. Banerjee K. 2005 Performance“.Analysisof.CarbonNanotube.Interconnectsfor. V. L. S. I.Applications”I. E. E. E.Int Conf. on Computer-Aided Design (ICCAD), 383 390 CA, Nov..
  4. 4. Srivastava N. Banerjee K. 2004Comparative. A.ScalingAnalysis.ofMetallic.CarbonNanotube.Interconnectionsfor.NanometerScale. V. L. S. I.TechnologiesProc 21 Intl. VLSI Multilevel Interconnect Conf., 393 398 ,.
  5. 5. Banerjee K. Srivastava N. 2006Are“.CarbonNanotubes.theFuture.ofV. L. S. I.Interconnections?”A. C. M.DesignAutomation.Conf. D. A. (DAC), 809 814 CA, Jul..
  6. 6. Li J. et al. 2003Bottom-Up“.Approachfor.CarbonNanotubes.Interconnects”Appl.Phys. Lett., 82 2491 2493 , Apr..
  7. 7. Naeemi A. Meindl J. D. 2007Design“.PerformanceModeling.for-WalledSingle.CarbonNanotubes.asLocalSemiglobal.GlobalInterconnects.inGigascale.IntegratedSystems”. I. E. E. E.Trans Electron Devices, 54 1 26 37 , Jan..
  8. 8. Mc Euen P. L. et al. 2002Single-Walled.CarbonNanotube.ElectronicsTransI. E. E. E. Nanotechnology, 1 1 78 85 ,.
  9. 9. Min. Tang, Jun-Fa Mao , 2006 "Optimization of Global Interconnects in High Performance VLSI Circuits", Proc. 19 Int. Conf. VLSI Design,.
  10. 10. Fathi D. Forouzandeh B. 2009Accurate“.Analysisof.GlobalInterconnects.inNano. F. P. G.As”Nano.pp 171176 4 3 .
  11. 11. Prasad V. Desai M. P. 2003Interconnect.delayminimization.usinga.novelpre-mid-post.bufferstrategy.Proc 16 Int. Conf. VLSI Design, 417 422 , Jan..
  12. 12. Jan M. 2006RabaeyAnantha.Chandrakasan.DigitalIntegrated.Circuit2ndEdition.Chapters 4 & 9,.
  13. 13. Naeemi A. Venkatesan R . J.d.mendl- S. 2002 . SYSTEM-ON-CHIP GLOBAL INTERCONNECT OPTIMIZATION N.ProcA. S. I. C.SoC.ConfSep 399 403 .
  14. 14. Mui M. Banerjee K . 2004Global. A.InterconnectOptimization.Schemefor.NanometerScale. V. L. S. I.WithImplications.forLatency.BandwidthPowerDissipation.TransI. E. E. E.E. L. E. C. T. R. O. ELECTRON DEVICES, 51 2 195 203 , Feb..
  15. 15. Banerjee K. 2002AmitMehrotra. . A.Power-OptimalRepeater.InsertionMethodology.forGlobal.Interconnectsin.NanometerDesigns.TransI. E. E. E.Electron Devices, 49 11 2001 2007 , Nov..
  16. 16. Venkatesan R. Davis J. A. Mendil J. d. 2003Compact.DistributedR. L. C.Interconnect-PartModels.UnifiedI. V.Modelsfor.TimeDelay.CrosstalkRepeaterInsertion.TransI. E. E. E.E. L. E. C. T. R. O. ELECTRON DEVICES, 50 4 1094 1102 , Apr..
  17. 17. Bakoglu B. 1990 "Circuits, Interconnections and Packaging for VLSI", Addison-Wesley,.
  18. 18. Fathi D. Forouzandeh B. 1999 A New Repeater Insertion Technique for Optimization of Global Interconnects in Nano VLSI”, NANO, To be published.
  19. 19. Shyh-Chyi Wong , Gwo-Yann Lee , 2000 "Modeling of interconnect capacitance, delay, and crosstalk in VLSI", IEEE Trans. Semiconductor Manufacturing, 13 1 108 111 , Feb..
  20. 20. Kahng A. B. Masuko K. Muddu S. 1996 Analyticaldelay.modelsfor. V. L. S. I.interconnectsunder.rampinput.ProcA. C. M. I. E. E. ACM/IEEE Int. Conf. Computer-Aided Design, 30 36 3036 Nov..
  21. 21. Elmore W. C. 1948 The Transient Analysis of Damped Linear Networks with Particular Regard to Wideband Amplifiers", J. Appl. Phys., 19 1 55 63 , Jan..
  22. 22. Li X. Mao J. Huang H. Liu Y. 2005 GlobalInterconnect.WidthSpacingOptimization.forLatency.BandwidthPowerDissipation.TransI. E. E. E.Electron Devices, 52 10 2272 2279 , Oct..Mathematics Laboratory (MATLAB),.
  23. 23. Srivastava N. Joshi R. V. Banerjee K. 2005 CarbonNanotube.InterconnectsImplications.forPerformance.PowerDissipation.ThermalManagement.IntI. E. E. E. Electron Devices Meeting (IEDM), Washington DC, 257 260 257260 Dec..
  24. 24. Burke P. J. 2002 "Luttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes", IEEE Trans. Nanotechnology, 1 3 129 144 , Sep..
  25. 25. Banerjee K. Srivastava N. 2006 Are Carbon Nanotubes the Future of VLSI Interconnections?", ACM Design Automation Conf. (DAC), San Francisco, CA, 809 814 , Jul..
  26. 26. Datta S. 2004 ElectricalResistance.AnAtomistic. View Nanotechnology vol. 15, S433 -S451,.
  27. 27. Park J. Y. et al. 2004 “Electron-Phonon Scattering in Metallic Single-Walled Carbon Nanotubes”, Nano Letters, 4 3 517 520 ,.
  28. 28. Datta S. 1995 “Electronic Transport in Mesoscopic Systems”, Cambridge Univ. Press,.
  29. 29. Naeemi A. Sarvari R. Meindl J. D. 2005 "Performance Comparison Between Carbon Nanotube and Copper Interconnects for Gigascale Integration (GSI)", IEEE Electron Device Letters, 26 2 84 86 , Feb..
  30. 30. de Pablo P. J. et al. 2002 “Nonlinear Resistance Versus Length in Single-Walled Carbon Nanotubes”, Phys. Rev. Lett., 88 036804 1-036804/4, Jan..
  31. 31. Andriotis A. et al. 2003 “Non-Linear Resistance Dependence on Length in Single-Wall Carbon Nanotubes”, Nano Lett., 3 131 134 ,.
  32. 32. Li S. et al. 2004 “Electrical Properties of 0.4 cm Long Single-Walled Carbon Nanotubes”, Nano Lett., 4 2003 2007 ,.
  33. 33. Li H. et al. 2000 CircuitModeling.PerformanceAnalysis.of-WalledMulti.CarbonNanotube.Interconnects Trans I. E. E. E. Electron Devices, 55 6 1328 1337 , Jun..
  34. 34. Zhou X. et al. 2005 "Band Structure, Phonon Scattering, and the Performance Limit of Single-Walled Carbon Nanotube Transistors", Phys. Rev. Lett., 95 14 146805 Sep..
  35. 35. Jiang J. et al. 2000 UniversalExpression.forLocalization.Lengthin.MetallicCarbon.Nanotubes Phys Rev. B, Condens. Matter, 64 64 4 045409 Jul..
  36. 36. Naeemi A. Meindl J. D. 2007 "Design and Performance Modeling for Single-Walled Carbon Nanotubes as Local, Semiglobal, and Global Interconnects in Gigascale Integrated Systems", IEEE Trans. Electron Devices, 54 1 26 37 , Jan..
  37. 37. Naeemi A. Meindl J. D. 2006 “Compact Physical Models for Multiwall Carbon-Nanotube Interconnects”, IEEE Electron Device Lett., 27 5 338 340 , May.
  38. 38. Naeemi A. Meindl J. D. 2005 “Mono-Layer Metallic Nanotube Interconnects: Promising Candidates for Short Local Interconnects”, IEEE Electron Device Letters, 26 8 544 546 , Aug..
  39. 39. Raychowdhury A. Roy K. 2006 “Modeling of metallic Carbon-Nanotube Interconnects for Circuit Simulations and a Comparison With Cu Interconnects for Scaled Technologies”, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 25 1 58 65 , Jan..
  40. 40. Burke P. J. 2003 “An RF Circuit Model for Carbon Nanotubes”, IEEE Trans. Nanotechnol., 2 1 55 58 , Mar..
  41. 41. Bockrath M. W. 1999 “Carbon Nanotubes: Electrons in One Dimension”, Ph.D. Dissertation, Dept. Phys., Univ. California, Berkeley,.
  42. 42. Nieuwoudt A. Massoud Y. 2006 “Evaluating the Impact of Resistance in Carbon Nanotube Bundles for VLSI Interconnect Using Diameter-Dependent Modeling Techniques”, IEEE Trans. Electron Devices, 53 10 2460 2466 , Oct..
  43. 43. Fathi D. Forouzandeh B. Mohajerzadeh S. Sarvari R. 2009 “Accurate Analysis of Carbon Nanotube Interconnects Using Transmission Line Model”, Micro & Nano Letters, 4 2 116 121 ,.
  44. 44. Banerjee K. Mehrotra A. 2002 “Analysis of On-Chip Inductance Effects for Distributed RLC Interconnects”, IEEE Trans. Computer-Aided Design of Integ. Circuits and Systems, 21 8 904 915 , Aug..
  45. 45. Palit A. K. et al. 2003 “Reduced Order Long Interconnect Modeling”, 15. ITG-GI-GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Timmendorfer Strand, 42 47 , Mar..
  46. 46. Fathi D. Forouzandeh B. 2009 “Time Domain Analysis of Carbon Nanotube Interconnects Based on Distributed RLC Model”, Nano, 4 1 13 21 , Feb..
  47. 47. Fathi D. Forouzandeh B. 2009 “A Novel Approach for Stability Analysis in Carbon Nanotube Interconnects”, IEEE Electron Device Letters, 30 5 475 477 , May.

Notes

  • Energy-Delay Product
  • International Technology Roadmap for Semiconductors

Written By

Davood Fathi and Behjat Forouzandeh

Published: 01 March 2010