Open access

Nanoimprint Lithography

Written By

Thomas Glinsner and Gerald Kreindl

Published: 01 February 2010

DOI: 10.5772/8190

From the Edited Volume

Lithography

Edited by Michael Wang

Chapter metrics overview

5,656 Chapter Downloads

View Full Metrics

1. Introduction

Imprinting technology is an ancient technique for the reproduction of writings on appropriate supports. Since 1990´s, one of the imprinting techniques, i.e., injection moulding has been used for compact disk (CD) production. More recently, the semiconductor industry is interested in imprint related techniques because of the mass production requirement of future microelectronic circuits with a possible critical dimension down to a few nanometers. At this deep nanometer scale, traditional photolithography is supposed to rule out because of the optical diffraction or material limitations. In fact, the actual minimum feature size in an integrated circuit (IC) is already less than 50 nm and the actual manufacturing systems are already extremely sophisticated and expensive. The semiconductor industry has always been looking for alternative patterning methods in order to follow Moore´s law, which has been formulated to predict the evolution of the technology nodes. Now, extreme UV lithography (EUV), 193 nm immersion lithography, mask less lithography (MLL) techniques and nanoimprint lithography (NIL) are considered as candidates for the so called Next Generation Lithography (NGL) at 32 nm and 22 nm nodes. In parallel, imprint technology has been promoted by a large scientific community and non-IC industry segments including high-density storage, optoelectronics, telecommunication as well as biochips or micro total analysis systems.

The history of imprint technology as lithography method for pattern replication can be traced back to 1970’s but the most significant progress has been made by the research group of S. Chou in the 1990’s. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Indeed, the initial proposal of Chou et al. has been made for the mass production of high density magnetic storage media and it has been used to demonstrate the feasibility of all kind of fine structure patterning at a nanometer scale resolution which is now called nanoimprint lithography.

Nanoimprint lithography is based on surface structuring with a template consisting of topographic patterns. After imprinting, the patterns have to be transferred in order to obtain different functionalities. As lithography method, nanoimprint is fully compatible to the standard micro-fabrication techniques, including different transfer processes such as etching, lift-off, selective re-growth or diffusion. In most cases, a thin layer of resist is deposited on the substrate and then imprinted, resulting in a thickness contrast. This may need a further treatment by reactive ion etching (RIE) to remove the residual resist layer and to transfer the features into the used substrate material. Therefore, the process control of both imprinting and etching are important in order to obtain a suitable resist profile without any residual layer. For some particular applications, the resist layer can be replaced by functional materials or omitted for an imprint directly into the substrate.

Currently, two main types of nanoimprint methods are existing, i.e., hot embossing and UV-based nanoimprint lithography (UV-NIL). An overview of the most important nanoimprinting techniques and its related stamp materials is shown in figure 1. Thermal processing has been demonstrated by S. Chou et al., which consists of deforming a thermoplastic polymer layer such as a polymer (e.g. PMMA, COC, PC) spin coated on a substrate or a polymer substrate. After pre-bake and heating up above the glass transition temperature of the polymer, the imprint is performed by applying a contact force on the stack consisting of template and substrate. Then, the template-substrate assembly is cooled down before the template release. Alternatively, the UV-based technique is based on the use of photo-curable resist, which can be easily deformed at room temperature and cured by UV-light exposure. Thus the main difference between the two techniques are the material types of both template and resist, i.e., transparent templates and photosensitive resists for UV-NIL, but in some cases non-transparent templates and thermoplastic resists for thermal-NIL.

For both hot embossing and UV-based processes, the template fabrication is one of the most critical issues because of its high cost. This depends on the required minimum feature size, the density of the features and the patterned area. In general, electron beam lithography and reactive ion etching are used to pattern silicon or quartz glass wafers. High resolution patterning over a large wafer area is time consuming but ideally, once the template has been produced, it can be used for many times. In practice, both silicon and quartz glass templates can be damaged due to process imperfection.

Compared to hot embossing, UV-NIL is advantageous when multi-level patterning is needed due to the fact, that high precision alignment can be done much easier with processes performed at room temperature as there are no thermal gradients generated. Basically, the cycle time of UV-NIL is shorter than in hot embossing due to the absence of heating and cooling cycles (heating-up to the imprinting temperature and cooling down to the de-embossing temperature). In addition, both pattern placement accuracy and overlay alignment accuracy of UV-NIL are also inherently higher than in hot embossing processes, because of reduced size variations of templates and wafer materials due to the thermal cycles. It is known that with an increase of the wafer size, the wafer flatness decreases so that a high pressure has to be applied to assure a good imprinting uniformity. Typically, the maximum imprinting area is limited to about 25 mm 25 mm for UV-NIL processes, considering the stiffness and the thickness variations of quartz glass templates and silicon wafers. In particular cases, the step-and-repeat strategy can be applied to replicate the same small sized template many times over the whole wafer area. However, this requires a more sophisticated imprint tool and can not be applied to the general case of large wafer pattern replication. On the other hand hot embossing is superior over UV-NIL if polymer materials need to be imprinted directly.

Thus, it is highly desirable to have a low cost solution for large area replications, with less risk of template damage but improved process latitude. One possibility is to use soft templates, which are referred to soft working stamps. The use of soft materials should allow for a more conformable imprinting over a large wafer area. Accordingly, soft and hard UV-NIL refers to the material hardness of the template material, hard for a quartz glass template and soft for a soft layer attached to a glass back plane (soft UV-NIL). Another advantage is that such soft working stamps can be easily obtained by casting and curing a liquid precursor of a soft stamp material onto a silicon master. One of the most widely used materials is polydimethylsiloxane (PDMS). The working stamp fabrication is carried out in the same way as the stamp fabrication for micro-contact printing applications. PDMS stamps have a good optical transparency to the wavelengths used for curing of the UV-NIL resists (between 350 nm and 450 nm) and a low surface energy which ensures an easy separation from the substrate after the UV-NIL process. The drawback of PDMS as a soft stamp material for UV-NIL is that due to the low Young’s module of PDMS extremely high resolution pattern in the sub-50 nm range might not be achievable and the local pattern deformation is more critical than in hard UV-NIL. Other materials like perfluorpolyethers (PFPE´s) can be used instead of PDMS for resolving features in the sub-50 nm range.

In this chapter, we are presenting a general process consideration based on the used materials and equipment concepts. The two most important nanoimprint lithography techniques hot embossing and UV-NIL are described in detail with application examples.

This present book chapter focuses on the principles and technologies of hot embossing and UV-NIL structuring techniques; micro contact printing (µ-CP), also considered as soft lithography, is only mentioned in the introduction and is not considered in subsequent paragraphs. Application pictures are added in various sub-chapters to substantiate the described processes.

Figure 1.

Main nanoimprint lithography techniques with corresponding stamp materials.

Advertisement

2. Nanoimprinting processes

The relevant process parameters used for a nanoimprint lithography process strongly depend on the applied nanoimprint technique. On the one hand hot embossing requires high temperatures and high contact forces, whereas in UV-based NIL processes the imprinted monomer or oligomer is cured by UV-light exposure. A basic process flow of a nanoimprinting process independent on the used technique is demonstrated in figure 2. In case of a hot embossing process using a polymer substrate to be imprinted, the structures are directly transferred into the polymer without any resist and pattern transfer process. A resist is either spin coated or droplet dispensed on a substrate. The template is getting in contact with the resist and distributing the resist evenly in case of droplet dispense. The features on the template are structuring the resist surface in a way that the resist is flowing into the cavities of the topography of the template. Curing of the resist is performed by either cooling down below the glass transition temperature of the resist in case of an HE process or by UV-light exposure in an UV-NIL process. The residual resist layer remaining underneath the elevated features of the template has to be removed in a dry reactive ion etching (DRIE) process in order to transfer the pattern into the substrate by either proceeding with the DRIE process used for the resist removal or by applying a different DRIE process. The alignment keys represented on the template and the substrate surface are used if an aligned imprinting process is required. The structuring process is finished by removing the remaining resist from the substrate.

Figure 2.

Graphical representation of an UV-NIL process including pattern transfer.

2.1. Hot embossing

In hot embossing processes a polymer sheet or a spin-on polymer is heated above its glass transition temperature and imprinted by applying high contact forces. The stamp material is chosen depending on the required feature sizes and the materials involved.

A typical process flow for hot embossing is depicted in figure 3.

Figure 3.

Hot embossing process flow.

The temperatures of top and bottom side heaters are ramped-up above the glass transition temperature of the polymer to be imprinted. In general the imprint temperature is about 20-50 C higher than the glass transition temperature (Tg) of the polymer. Only thermoplastic polymers can be imprinted as they can be dissolved in appropriate solvents for spin coating and are deformable upon the application of contact forces. During the heating time, the hot embossing chamber is evacuated to about 5 mbar. After reaching the imprint temperature of the polymer the contact force is applied. Its amount is dependent on various parameters such as the stamp area, the type of polymer and the feature geometry. The contact force remains applied until the temperature of the heaters reach the de-embossing temperature. This is the temperature level, which allows a reliable and residue-free separation of the stamp from the polymer. The total cycle time for a hot embossing process is strongly dependent on the heating and cooling capabilities of the equipment and is in the order of 3 to 20 minutes. In table 1 a summary of polymers used for hot embossing including the glass transition temperature is listed.

The fastest possible hot embossing processes are isothermal processes. In this scenario the imprint temperature and the de-embossing temperature of the substrate are the same. The heaters can remain at the same temperature level all the time. The resist is fluid enough to guarantee a very fast imprint as soon as it gets in contact with the template and the contact force is applied. De-embossing is performed outside of the chamber in this case. Cycle times of 2 minutes have been demonstrated with high resolution features down to 50 nm on a 200 mm Si substrate by using a EVG520HE hot embossing equipment.

The following stamp materials are widely used for hot embossing processes:

Polymer Short name Glass transition temperature [T g]
Polymer substrates
Cyclo olefine copolymer COC 60 ?
Polystyrene PS
Polymethylmethacrylate PMMA
Polycarbonate PC
Spin-on polymers
mr-I-7000 -
mr-I-8000 -
mr-I-9000E -
mr-I T85 -

Table 1.

Polymers used for imprinting and its glass transition temperature.

  • Silicon

  • Fused quartz glass

  • Nickel

  • Soft working stamps

Table 2 lists commonly used stamp materials for hot embossing including the fabrication process, the type of hot embossing process, the thermal expansion of the stamp material as well as its required surface treatment prior to imprinting. The corresponding thermal expansion coefficient plays a role in case of optical alignment is required. In order to achieve optimized alignment conditions, the thermal expansion of template and substrate should be matched.

Stamp material for hot embossing Fabrication process Hot embossing process type Thermal expansion [10 -6 K -1 ] Surface treatment
Silicon e-beam, optical lithography, Spin-on layer 2.6 Silane
Fused quartz glass e-beam Spin-on layer 0.6 Silane
Nickel Optical lithography, electroplating Polymer sheet 13 Not required
Soft working stamps Replication from a Si or SiO 2 master Spin-on layer, polymer sheet 310 for PDMS 340 for MD-500 PFPE Not required

Table 2.

Stamp materials used for hot embossing and its characteristics.

Soft working stamps can be fabricated from Ni masters, glass masters or directly from resist masters after lithography (e.g. e-beam, optical, laser). In figure 4 the basic process flow of the soft working stamp fabrication process is demonstrated. The liquid pre-cursor of the polymer is dispensed onto the master and squeezed between the master and a glass backplane. Curing of the polymer is achieved by UV-exposure. The soft working stamp attached to the glass backplane is released from the master and represents the reversible image of the master design. The resist master is treated with an anti-sticking layer (fluorinated chemical) in order to ensure a residual-free separation of the polymer from the master. Ni masters are usually not treated as they exhibit good release properties from the master.

Figure 4.

Basic process of soft working stamp fabrication.

In figure 5 and 6 scanning electron microscopy (SEM) pictures of imprinted features are shown replicated by using soft working stamps. In the first case spin-on polymer layers are imprinted whereas in the later case the structures are directly replicated into a polymer.

Figure 5.

SEM images of imprinted features by hot embossing utilizing soft working stamps; left: 50 nm lines, 100 nm high, right: 50 nm and 100 nm meander structures, 100 nm high.

Figure 6.

SEM image of 200 µm wide hot embossed micro fluidic channels utilizing working stamp substrate top area.

2.2. UV-nanoimprint lithography

In UV-based nanoimprint lithography a transparent template with nanostructures on its surface is used to deform a thin resist film or an active material deposited on a substrate followed by a hardening step. The film is cured by photo chemical cross-linking before the stamp is released. When polymer chains are linked together by cross-links, they lose some of their ability to move as individual polymer chains. For example, a liquid polymer (where the chains are freely flowing) can be turned into a "solid" or "gel" by cross-linking the chains together. In polymer chemistry, when a synthetic polymer is cross-linked, it usually means that the entire bulk of the polymer has been exposed to the cross-linking method. The resulting modification of mechanical properties depends strongly on the crosslink density. Low cross-linked densities raise the viscosities of polymers. Intermediate cross-linked densities transform gummy polymers into materials that have elastomeric properties and potentially high strengths. Such materials are usually used as stamp materials. Very high cross-linked densities can cause materials to become very rigid or glassy. Cross-links can be formed by chemical reactions that are initiated by radiation. For example, mixing a non polymerized or partially polymerized resin with specific chemicals called cross-linking reagents results in a chemical reaction that forms cross-links. In hard UV-NIL rigid transparent templates like quartz glass contain the structures whereas in soft UV-NIL polymeric replicas from master templates are used for the imprinting process. Table 3 shows the basic data for both patterning techniques in terms of maximum imprint area and alignment capabilities. Hard- and soft UV-NIL stamps can be re-used many times, in case of hard UV-NIL there is only the need of re-applying the surface release agent called anti sticking layer (ASL), whereas soft stamps are materials with integrated release properties. Soft stamp polymers are cheap and disposable materials, they can be replicated multiple times from the master.

UV-based imprint lithography can be carried out using different types of imprinting machines: single step, full-field imprinting and step-and-repeat.

Single step imprinting machines, like the one shown in figure 7, structure an entire wafer (up to 200 mm) or small areas (called a die) at one time. A step-and-repeat tool (Fig. 8) imprints one die in a hard or soft UV-NIL approach of a wafer at a time and then moves to a new area of the wafer. The process is repeated until the entire wafer is imprinted. One advantage of the step-and-repeat method is that it is easier to achieve a higher alignment accuracy in a smaller area than in a larger area. A second advantage, and probably the most significant for many applications, is that it allows the use of very small hard stamps to create a large imprint

Figure 7.

Single step semi-automated UV-NIL system.

Figure 8.

Step-and-repeat semi-automated UV-NIL system.

area. It is also possible to use such structured areas as large area template for single step imprinting applications.

NIL has passed the barrier from the laboratory scale to industrial production in various applications such as wafer level camera modules (WLC), optical gratings or LED’s. These applications are described in more detail in the following paragraphs.

Soft Stamps Hard Stamps
Imprinted area Up to Up to x 25 mm*
Resist application Spin coating, inkjet dispense Spin coating, inkjet dispense
Alignment Accuracy limited due to distortion of stamp (~ 1 µm) High precision alignment possible ( < 5 0 nm)

Table 3.

Comparison of soft and hard stamps based on different process parameters.

*in single step imprinting applications

2.2.1. Hard UV-Nanoimprint lithography

In hard UV-based nanoimprint lithography processes quartz glass templates are used for replication. Due to the thickness variation of substrates and the rigid templates in hard UV-NIL, the area which can be patterned with one imprint step is limited to about 25 mm x 25 mm. Therefore, in order to pattern large substrates up to 300 mm in diameter, a “step-and-repeat” process has to be applied. In soft UV-NIL processes elastomeric stamps are used as they are able to compensate for any surface roughness and curvature of substrates to be imprinted, offering thereby the possibility to pattern at wafer scale with only one imprint step. Hard UV-NIL is preferred over soft UV-NIL for applications demanding high alignment accuracy. Commercially available resist materials have been used such as Amonil from Amo, UVCur21 from micro resist technology and PAK-01 from Toyo Gosei. All these materials can be spin coated on substrates prior to imprinting.

The adhesion of the resists to the wafer surface has to be increased while the adhesion of the cured resist to the stamp surface has to be as low as possible. In order to get a very low surface energy on the quartz glass template surface an anti-sticking layer (ASL) has to be applied. Silane based ASL´s are covalently bonded onto SiO2 surfaces as shown in figure 9. It has been reported in literature that the anti-sticking layer can be applied in gaseous phase in a vacuum chamber by exclusion of moisture or by simply immersing the template in a

Figure 9.

Fluorosilanized silicon oxide surface.

liquid ASL and rinse it with solvent. The so called molecular vapor deposition (MVD), proposed by Applied Microstructures, is a room temperature deposition process and takes place in vacuum. Specific chemicals can be deposited on template surfaces such as perfluorodecyltrichlorsilane (FDTS) to serve as hydrophobic coating for the subsequent nanoimprinting process. It was found out empirically that ASL´s deposited from gaseous phase are preferred over liquid deposited films for features sizes smaller than 50 nm.

In figure 10 an atomic force microscopy (AFM) picture of imprinted sub-µm lines and space features are shown. Figures 11 and 12 demonstrate SEM pictures of imprinted features down to 90 nm resolution using 25 mm x 25 mm quartz glass templates.

Figure 10.

Three-dimensional AFM image of an imprinted lines and space structure.

Figure 11.

Surface topography investigation - SEM picture of 90 nm imprinted dots (top view).

Figure 12.

Cross section investigation - SEM picture of imprinted lines and space structure (chuck tilted by 80 ).

The residual layer and its distribution across the substrate surface is an important characteristic for the subsequent pattern transfer process. It needs to be as thin as possible, usually thinner than 50 nm is requested, and its uniformity should be ± 10% from its average value. Figure 13 shows a cross section SEM picture demonstrating a residual layer thickness of about 50 nm.

Figure 13.

Close-up of cross sectional SEM picture of imprinted lines and space structure for residual resist measurement (chuck tilted by 80 ).

Stacking of lines and space structures has been performed by imprinting of features onto SiO2 and pattern transfer into SiO2 with subsequent filling of the etched features with Si. This approach results in 3D photonic crystal woodpile structures exhibiting a full photonic band gap by keeping the required alignment accuracy and the quality of the imprinted layers. In figure 14 all five layers of the Si/SiO2 woodpile structure are shown. The lines and space structures of the first, third and fifth layers are facing towards the observer. The first and fifth layer are above each other and the third layer is shifted by half of the period according to the design rules for the woodpile structure. This is another example where nanoimprint lithography could play a role in the future as manufacturing technique.

Figure 14.

SEM picture of 5 layers of the Si/SiO2 woodpile structure.

2.2.2. Soft UV-Nanoimprint lithography

Templates for nanoimprint lithography are also called stamps or moulds. In case of soft UV-NIL, soft working stamps can be conveniently reproduced by copying from another template which is called master. Silicon masters for soft UV-NIL template replication are fabricated by electron beam lithography, EUV immersion lithography or other high resolution patterning techniques, followed by reactive ion etching and surface coating with a release agent like mentioned in chapter 2.2.1. Both high resolution lithography and reactive ion etching techniques for silicon processing have been well developed, allowing manufacturing of high resolution (better than 50 nm) and high quality masters. However, the cost of high resolution masters increases rapidly with the wafer size and resolution. It is still a big challenge to cover a large area of a wafer because of throughput limitations. The surface treatment of the master with a release agent is still one of the important research topics, although a large number of recipes or processing protocols have been proposed. Fortunately, soft stamp polymers are materials with low surface energy. They can be easily peeled off after curing from a silicon master, which has been simply coated with FDTS by molecular vapor phase deposition. Now, several companies can provide high resolution silicon masters with feature sizes down to < 20 nm at reasonable prices for standard features such as arrays of gratings, checkerboards, dots and holes. Soft working stamps can be obtained by curing the polymer on a master. It may also be necessary to introduce a carrier for the flexible stamp in order to facilitate handling of the soft working stamp in an imprinting system. Such a carrier can either be integrated during the curing process of the template material or thermally bonded after a plasma surface treatment of both glass and back surface of the soft stamp polymer template. The soft template bonded onto a carrier is detached from the master manually after curing. For more advanced fabrication, they can be obtained with a dedicated high accuracy molding unit, to apply highly uniform and repeatable contact forces to ensure that the residual layer is kept thin. This is crucial to avoid distortions during the imprinting process, especially for high resolution features. Finally, UV-curable soft materials are cross-linked via UV-exposure in the UV-imprinting system.

The stiffness of the fabricated working stamps can be adjusted by changing the mixture ratio of the base liquid and the curing agent or by changing the molecule end groups in case of UV curable polymers. For high resolution patterning, it is better to use a thin layer of hard polymer bonded on to a softer block. Such a double layer can be obtained by first spin coating the hard layer on the master and then attaching a soft block. After thermal bonding, the soft block can easily be peeled off together with the hard layer. Alternatively, other types of “hard” materials such as PMMA and PFPE can be used for the top layer fabrication, but both attaching and peeling processes are much more delicate. In most cases, a stamp made of a single layer polymer and glass back-plane will be sufficient for the pattern replication of feature sizes between 50 nm and a few micrometers, but the bi-layer template configuration ensures both higher resolution and higher mechanical stability.

The created soft template usually resembles a negative counterpart from the used master. Nowadays there is also the possibility to replicate positive and negative counterparts from one master design by using different stamp polymers. In contrast to the fabrication of quartz glass templates for hard UV-NIL, where the reproduction by copying is much more difficult, a silicon master for soft UV-NIL can be used for copying of many soft working stamps. Soft working stamps have a relative low surface energy which ensures an easier separation from the substrate after UV-NIL. In addition, because of the inherent properties of the soft materials (elastomers), the risk of mechanical damage of the master is also largely reduced. Therefore, the template cost as well as the processing cost of soft UV-NIL is significantly lower than in other nanoimprint techniques.

Soft UV-NIL can be performed in a very simple manner in R&D environments by running the process manually. However, for sophisticated device fabrication and for achieving reproducible results, dedicated systems are necessary with a better imprinting performance and highly controllable process parameters. In principle, a substrate holder, a template holder and a mechanical system that brings the coated substrate and the soft working stamp into contact and a UV-exposure unit are needed. The flexible and forgiving nature of the soft working stamps compensates for the waviness of the substrate and the working stamp itself in order to get full-field highly homogeneous patterns. However, a wedge compensation process has to be performed to ensure that the working stamp and the substrate are parallel before the imprinting process. In addition to that, the imprint force and exposure dose must be controlled over the whole imprinted area and, a suitable detachment unit must be implemented for highly reproducible process results. Finally, an optical alignment is generally required for multilevel device applications.

With the rapid growing interest in nanoimprint techniques, commercial systems are now available from several companies. In particular, EV Group has developed dedicated systems for soft UV-NIL.

Figure 15.

IQ aligner for large area soft UV NIL (up to 300 mm).

The EVG620 system mentioned in chapter 2.2.1. is able to perform optical lithography as well as hard and soft UV-NIL for R&D applications for substrate sizes up to 150 mm. The IQ aligner like shown in figure 15 is a dedicated system for large area soft UV-NIL applications up to 300 mm substrate size. The available equipment spectrum reaches from semi-automated configurations for research environments to fully automated systems including automated detachment of stamp and substrate. Such systems are already in use for high volume production.

The general imprinting process of soft UV-NIL can be described as follows:

A UV-curable resist layer is coated onto a substrate. For coating of the resists several methods like spin coating, spray coating and droplet or puddle dispense can be used. The applied coating technique is heavily dependent if a pattern transfer process has to be carried out, which requires thin residual layers in the range of below 50 nm. Such a requirement can be fulfilled by either spin coating of thin layers to be imprinted or discrete droplet dispense of small volumes depending on the feature size and feature density. If the residual layer does not play any role, such as the polymer layer is part of the functional device, the resist can be thicker. In this case the resist can be applied by puddle dispense.

Then, a soft working stamp is brought into contact with the UV-curable resist layer. After applying the contact force on the stack consisting of template, resist, and substrate, the resist is cured by UV-exposure through the transparent working stamp. Finally, the working stamp is separated from the imprinted substrate, resulting in an imprinted resist layer, which is the negative image of any topography preserved on the stamp surface. Due to the double-negative process (master/soft working stamp and soft working stamp/imprint) the imprinted pattern have the same tone as the master.

Figures 16 and 17 show AFM measurements of 50 nm boxes on the master and its replica fabricated by nanoimprint lithography. The two structures show a nearly perfect match in pattern fidelity. There is only a variation of < 5% in horizontal and vertical dimensions.

Figure 16.

Master AFM image of 50 nm dots, 100 nm in height.

Figure 17.

Corresponding AFM image of 50 nm replicated dots, 100 nm in height.

Besides the double-negative process (master/soft working stamp and soft working stamp/imprint) there is the possibility, by tuning the stamp polymer, to replicate positive and negative counterpart working stamps from one master design. In this case a second working stamp imprinted from a first working stamp is used to perform the final imprint as shown in Figure 18.

Figure 18.

SEM Images of 50 nm boxes in a 3 step counterpart imprint.

To obtain a high quality soft UV-imprint, the material parameters as well as the equipment capabilities need to be optimized. Ideally, resists for soft UV-NIL should exhibit properties like low viscosity, high UV sensitivity and good etch resistivity. Suitable UV-curable resist materials may be organic materials or inorganic/organic composites. Low viscosity materials tend to fill up pattern on the soft working stamp surface faster and are capable to replicate higher resolutions, whereas higher viscosity resists may be used for thick layer fabrication, where no pattern transfer is needed. Finally, high UV sensitivity is required for high speed replication and a good etch selectivity is needed for a high quality pattern transfer. Several companies such as AMO (Germany), Micro resist Technology (Germany), and Toyo Gosei (Japan) have developed resists for commercial uses and most of them allow reaching a high resolution with reasonable exposing time and etching sensitivity to standard reactive ion etching processes.

The choice of substrates for soft UV-NIL strongly depends on the application. In most cases semiconductor materials such as Si wafers (with or without SiO2 layer) are in use. These substrates are sometimes covered with metals, other semiconductors or ceramics, but the process can also be carried out on polymer substrates depending on the adhesion properties of the material combinations.

The resolution of a lithographic process is of major importance. In case of soft UV-NIL, the resolution is dependent on both materials (soft polymer stamp, UV-NIL resist) and the performance of the imprinting processes. The resolution achievable is definitely better than 50 nm. Figure 19 illustrates an AFM picture of 35 nm half pitch master structures fabricated by extreme-UV interference lithography (EUV-IL) with the corresponding replicated polymeric stamp in figure 20.

Figure 19.

AFM image of 35 nm half pitch EUV-IL master template.

Figure 20.

AFM image of corresponding 35 nm half pitch polymeric working stamp.

The unique capability of the soft working stamp to compensate for thickness variations of a given substrate makes it possible to imprint over larger area with high uniformity. Therefore, this technology is an ideal candidate for high throughout and high resolution applications. One key parameter to demonstrate the uniformity of an imprint process is the homogeneity of the residual layer thickness. Other assessment parameters are pattern placement accuracy, overlay accuracy, process latitude, defect density, production rate and cost of ownership of a soft UV-NIL process. However, these are depending on the targeted applications and are different for each application as the variety of possible high volume applications are very broad. Soft UV-NIL is best suited for applications where large areas of continuous pattern need to be fabricated without any stitching error. The ability to pattern over large areas in one imprint step can, for sensor application, be used to provide substrates a “larger” surface by introducing a third dimension on a given area. The process can be performed at room temperature by applying small imprint forces, thus it does not impose additional stress on brittle or heat sensitive substrates.

Due to the flexibility of the template curvatures of the substrate may be neglected, yet for the same reason applications that need high definition alignment in the sub-micron range are hard to realize. Up to now the process has found its way into scientific research domains and production lines in micro-optics (Figure 21) and into prototyping for nanophotonics and biotechnology. It is expected to have additional impact in fabrication of memory devices and in display technology.

Figure 21.

mm soft stamp replicated lens wafer and 200 mm stacked wafer level camera module.

All imprinting techniques are based on material (polymer) transportation from the recessed area to the non recessed area or template cavities. It is obvious that, no matter how large the pressure and how hard the template material, imprinting is easier if only local or short distance material transportation is involved. Large distance resist flow or large feature size patterning may introduce large working stamp deformation. Therefore, soft UV-NIL is also best suited for applications where only small size features are replicated. For the device fabrication where both small and large size features have to be replicated, a mix-and-match technique can be applied, i.e., only high resolution features (contact holes, grating, dot and hole matrices, etc.) are patterned by soft UV-NIL and all large features (cavities, channels, pads, etc.) by standard optical lithography methods. Being still highly parallel, cost effective, and fully compatible to the requirement of multilevel device manufacturing, such an approach takes the advantage of soft UV-NIL but avoid its inherent drawback.

Probably the most promising high volume application is the fabrication of next generation high density storage medias with a planned capacity in the range of 1 Tb/in². Since the working stamps can be cost-effectively copied from a master and a hard disc media consists of homogenously distributed high resolution features (tracks for disc track recording as shown in figure 22 or dots for bit patterned media), soft UV-NIL can be an attractive candidate for the large scale mass production.

Figure 22.

SEM measurement of 50 nm half pitch imprinted data track features utilizing soft stamp imprint lithography.

Figure 23.

SEM image of 350 nm photonic crystal holes, structure depth 600 nm utilizing positive and negative counterpart working stamps.

Finally, the soft UV-NIL technique has been used to demonstrate device integration capability. One example is the fabrication of LED devices with photonic crystals. Figure 23 demonstrates a SEM image of photonic crystals replicated with soft UV NIL.

Advertisement

3. Conclusion

The most significant progress in nanoimprint lithography has been made within the last 10 years. Various imprinting modes have been developed and some of them already reached a mature state for research and development environments. Tremendeous progress was seen in building up the infrastructure for NIL. Templates and related fabrication methods, resists and nanoimprinting equipment are commercially available nowadays. This was supported by the foundation of consortia supporting to enhance the infrastructure in NIL. A good example is NILCom, which was founded in 2004 and which aligns activities around the fabrication process for commercial devices.

In addition, a number of potentially high volume future applications have been demonstrated, showing expected performances not only for high resolution patterning, but also for functional device integration. Imprinted micro lenses on up to 200 mm wafers are already in use in wafer-level optics for digital cameras. There are several nanoimprintingprocess modes available. Depending on the type of imprint material and available equipment either hot embossing or UV-NIL processes can be selected. Both types of processes can be performed with either hard template materials (e.g. Silicon, Quartz glass or Nickel) or soft working stamp materials. All of the described techniques have shown resolution capabilities of better than 50 nm. Hot embossing processes are the preferred process for structuring of polymer substrates directly. For devices with tight requirements on the overlay alignment accuracy hard UV-NIL is preferred. Thermal expansion differences of involved materials do not play any role as this process is performed at room temperature. Comparing to hard UV-NIL processes, soft UV-NIL is advantageous because of the possibility of patterning large area at once. Another benefit of soft UV-NIL is the fact that soft working stamps can be easily replicated from a master and each of them can be used for a large number of pattern replications. The risk of damaging the master due to mechanical means is largely reduced due to the soft properties of the working stamp materials. Considering the available infrastructure and the huge market potential, it can be assumed that a range of industrial applications will be realized by applying nanoimprint lithography processes.

Advertisement

Acknowledgments

The authors acknowledge the support from the company EV Group for writing this chapter.

References

  1. 1. International Technology Roadmap for Semiconductor Industry Association edition 2006 (http://public.itrs.net/).
  2. 2. International Conference on Nanoimprint and Nanoprint Technology 2002 since 2002.
  3. 3. Other international conferences and proceeding such as MNE, EIBPN, MMC, etc.
  4. 4. Sotomayor C. M. 2003 (Ed.) Alternative Lithography, Kluwer Academic/Plenum (2003) 249
  5. 5. Mamora Kondo et al. 1976 Fine Pattern Fabrication by Molded Mask, Academic Meeting of Japan Society of Applied Physics, Oct. 1976.
  6. 6. Chou S. Y. Krauss P. R. Renstrom P. J. 1995 Appl. Phys.Lett. 67, 3114 (1995).
  7. 7. Chou S. Y. Krauss P. R. Renstrom P. J. 1996 Nanoimprint Lithography, J. Vac. Sci. Technol. B 14, 4129 (1996).
  8. 8. Krauss P. R. Chou S. Y. 1997 Appl. Phys. Lett. 71, 3174 (1997).
  9. 9. Haisma J. Verheijen M. Van dean Heuvel K. van den Berg J. 1996 J.Vac Sci. Technol. B14, 4124 (1996).
  10. 10. Chen Y. Carcenac F. Ecoffet C. Lougnot D. J. Launois H. 1999 Microelectron. Eng. 46, 69-72 (1999)
  11. 11. Colburn M. Johnson S. Stewart M. Damle S. Jin B. Bailey T. Wedlake M. Michaelson T. Sreenivasan S. V. Ekerdt J. Willson C. G. 1999 Proceedings SPIE 3676, 379 (1999).
  12. 12. Bender M. Otto M. Hadam B. Vratzov B. Spangenberg B. Kurz H. 2000 Microelectron. Eng. 53, 233 (2000).
  13. 13. Xia Y. Whitesides G. M. 1998 Angew. Chem., Int. Ed. 37, 550 (1998).
  14. 14. White J. L. 1990 “Principles of Polymer Engineering Rheology”, John Wiley. &. Sons, New York, 1990.
  15. 15. Schift H. 2008 NaPa Emerging Nanopatterning Methods, Library of Processes, first edition (2008), 978-3-00024-396-7
  16. 16. Colburn M. Johnson S. Stewart M. Damle S. Bailey T. Choi B. Wedlake M. Michaelson T. Sreenivasan S. V. Ekerdt J. G. Willson C. G. 1999 Proc. SPIE 3676(I): 379 (1999).
  17. 17. Zaitsev S. 1999 Report for the European ESPRIT Project 29097 SPINUP”, 1999 (unpublished).
  18. 18. http://www.nilt.com; http://www.ntt-at.com
  19. 19. Koo N. Plachetka U. Otto M. Bolten J. Jeong J. Lee E. Kurz H. 2008 Nanotechnology 19, 225304 (2008).
  20. 20. Koo N. Bender M. Plachetka U. Fuchs A. Wahlbrink T. Bolten J. Kurz H. 2007 Microelectron. Eng. 84, 904 (2007).
  21. 21. Plachetka U. Bender M. Fuchs A. Wahlbrink T. Glinsner T. Kurz H. 2006 Microelectron. Eng. 83, 944 (2006).
  22. 22. Roy E. Kanamori Y. Belotti M. Chen Y. 2005 Microelectron. Eng. 78-79 (2005) 689
  23. 23. http://www.amo.de; http://www.microresist.de; www.toyogosei.co.jp
  24. 24. Chen Y. Roy E. Kanamori Y. Belloti M. Decanini D. 2005 Proceedings SPIE 5645, 283 (2005).
  25. 25. Shi J. et al. 2006 Microelectron. Eng. 83, 1664 (2006); Microelectron. Eng. 84, 1724 (2007).
  26. 26. Plachetka U. Bender M. Fuchs A. Vratzov B. Kurz H. 2004 Microelectron. Eng. 73-74, 167 (2004).
  27. 27. Shi J. Fang A. P. Malaquin L. Viovy J. L. Pépin A. Decanini D. Chen Y. 2004 Appl. Phys. Lett. 91, 153114 (2007).
  28. 28. Plachetka U. Koo N. Wahlbrink T. Bolten J. Waldow M. Plötzing T. Först M. Kurz H. 2008 IEEE PTL, 20, 7, April 1, 2008
  29. 29. Glinsner T. Hangweier P. Luesebrink H. Dorsey P. Homola A. Wachenschwanz D. 2005 Solid State Technology, 51 54 , March 2005.
  30. 30. Low Temperature Nanolayer of Metal Oxides by MVD, B.Kobrin, N Dangaria, J. Chinn Applied Microstructures, 1020 Rincon Circle, San Jose, CA 95131 USA
  31. 31. Solak H. H. 2006 Nnolithography with coherent extreme ultraviolet light, J. Phys. D- Appl. Phys. 39, (2006) R171 -R188
  32. 32. Glinsner T. 2007 Fabrication of 3D-photonic crystals by nanoimprint lithography, Ph.D. Theses, 2007.
  33. 33. http://nilcom.org
  34. 34. http://www.microresist.de

Written By

Thomas Glinsner and Gerald Kreindl

Published: 01 February 2010