Open access peer-reviewed chapter

Conventional and Un-Conventional Lithography for Fabricating Thin Film Functional Devices

Written By

Abdelhanin Aassime and Frederic Hamouda

Submitted: 26 May 2016 Reviewed: 27 September 2016 Published: 08 March 2017

DOI: 10.5772/66028

From the Edited Volume

Modern Technologies for Creating the Thin-film Systems and Coatings

Edited by Nikolay N. Nikitenkov

Chapter metrics overview

2,511 Chapter Downloads

View Full Metrics

Abstract

Thin film devices are conquering many aspects of today’s life, and continuous shrinking of building block dimensions of these structures enhances their performances and makes them economically attractive. This chapter is an overview of some conventional and unconventional lithography techniques used to fabricate thin film functional structures. Several aspects of pattern transfer were addressed with emphasis on the limits of these lithography techniques. We have thus highlighted the issue of pitch resolution for optical lithography and discussed some aspect of proximity effects for electron beam lithography. Pattern transfer from resist image to the wafer was also discussed. Considered as unconventional, we discussed several aspects linked to thin film fabrication using nanoimprint and nanosphere lithography techniques.

Keywords

  • optical lithography
  • electron beam lithography
  • nanoimprint lithography
  • nanosphere lithography
  • liftoff

1. Introduction

The continuous trend toward miniaturized and high-performance systems has been leading research and development in novel materials and devices with superior and new functionalities. In this regard, the high sensitivity of modern technologies at submicron scale opens prospects for realization of thin film functional devices such as capacitors for power components [1], sensing devices for biomedical applications [2] and magnetic thin films structures for data processing technology [3]. These devices were mostly fabricated following top-down view where deposition techniques were combined with lithography and eventually etching. In this chapter, we will mainly focus on depicting conventional and un-conventional lithography (see Figure 1) used for fabricating thin film functional devices when combined with physical vapor deposition (PVD) technique.

Figure 1.

Scheme showing different lithography techniques.

The first part of this chapter reports on most known conventional lithography techniques. These techniques consist of transferring a given pattern to a thin resist by means of local interaction between the resist and the beam of particles like photons or electrons. Once the resist is structured, functional devices can be obtained by liftoff technique after PVD deposition of thin films [4] or by etching [5]. Existing conventional lithography can be divided in two categories depending on the way of writing (transferring) the pattern: parallel and series writing methods. For parallel method such as optical lithography with different wavelengths, transfer to resist is done at once by using a mask containing the pattern. This technique has the advantage of being fast but limited by the mask fabrication step and diffraction effects. For series writing (maskless), such as electron beam lithography (EBL) and laser lithography, pattern is transferred to the resist pixel-by-pixel which makes them more precise but unfortunately slower. In the industry, parallel lithography technique is commonly used for mass production and serial method is mostly used for mask making. The aim of this first part is to present the principle of these various fabrication techniques with the focus on pitch resolution for optical lithography and proximity effects for electron beam lithography (EBL). Pattern transfer to the wafer using both lithography techniques will also be addressed.

In this second part, we report alternative techniques considered as unconventional lithography. Among them, we find nanoimprint lithography (NIL). This method is based on the printing patterns in a polymer with a mold which can be rigid or flexible, and after separation, transfer the pattern in the substrate. The first results were achieved in 1995 with the thermal NIL and rigid molds, more commonly known as hot embossing [6]. It permits to carry out high-density nanostructures on large areas and at low cost. A second method based on UV has been developed in 1996 at Philips Research Labs, which offers the advantage to work at room temperature and low pressure [7]. This technique uses the photon energy to crosslink the resist. Molds are transparent and can be flexible or rigid. Then, two ways have been developed: soft UV-NIL and hard UV-NIL. Each has advantages and will be addressed in this chapter with different steps to implement these techniques. Application examples will be also presented.

Among emerging methods, we find nanosphere lithography (NSL), also called as colloidal lithography. It is a low-cost simple technique to implement and permits to pattern well-ordered 2D nanoparticle arrays on large surface [8]. Another advantage of the NSL is to be a good candidate for the fabrication of diameter tunable nanoparticles in a wide range of 20–1000 nm. We will present the different technical steps to obtain a two-dimensional colloid mask.

Advertisement

2. Optical lithography

Optical lithography consists mainly on a light source illuminating, through an ensemble of optical lenses and apertures, a mask containing pattern aimed to be transferred to a given substrate. The mask can be set in the vicinity of the substrate (contact/proximity mode) or at a certain distance from it (projection mode). The mask is called “binary” in the sense that the light either passes through metal-free area (1) or being reflected in metal-covered surface of the mask (0). Pattern transfer is intermediated by a specific resist which covers the substrate. The interaction between light and resist results in local modification of molecules arrangement of the resist which can be revealed in a specific solution (development process). Figure 2 depicts the mask replication in contact/proximity and projection modes. The contact mode is a configuration where the mask is directly touching the resist, whereas a gap of few microns separates them in the proximity mode.

Figure 2.

Mask replication in contact/proximity mode (a) and projection configuration (b). The condenser collects light from the source and illuminates the mask pattern. An additional imaging lens is needed to de-magnify the mask pattern (up to ∼1:4).

The fundamental limit of optical lithography is not determined by the optical system alone but rather by an overall contribution from the optics, resist and subsequent process steps. As depicted in Figure 3, there exist in general two kinds of resolution, one which is linked to feature size and the other to pitch. While the feature size determines the critical dimension that can be obtained (e.g., size of the transistor), the pitch determines its density on the wafer (e.g., number of transistors per wafer).

Figure 3.

Example of pattern to be exposed showing a hole diameter as smallest feature and related pitch.

For clarity, we will focus only on projection systems in this paragraph. The pitch resolution (R) of these systems is usually expressed in terms of source wavelength λ and numerical aperture (NA=n sin(θ)) as

R=k1λnsinθE1

where k1 a process-dependent constant with values in 0.5–1 range, n is the refractive index of the media between the mask and the wafer, and θ is half acceptance angle of the lens (see Figure 2).

To improve the pitch resolution, it is necessary to decrease (λ, k1) and to enhance the numerical aperture. Historically, this improvement was driven by decrease in wavelength λ of the source. From mercury lamps at 365nm–435nm wavelength, to excimer laser sources with Krypton Fluoride (248 nm), Argon Fluoride (193 nm), and molecular Fluorine (157 nm) [9]. Furthermore, optical resolution limit has been pushed toward sub-100 nm features using resolution enhancement techniques (RET) such as optical proximity correction, high numerical aperture, and phase-shift masks; 65 nm device geometry (nodes) was indeed achieved using wavelength as large as 193 nm [10, 11]. While numerical aperture higher than 1 is not possible in conventional air-media imaging, using water between the last imaging lens and the wafer has pushed down the limit to sub-45 nm [12]. This technique, known as immersion lithography, is a potential candidate to take over the actual 193 nm technology in the industry. To complete the picture, extreme UV (EUV) is another immerging technique on which relies the future of next generation of circuit components to push further resolution down to sub-20 nm [13].

Advertisement

3. Electron beam lithography

Electron beam lithography has been generally accepted as a valuable tool in research and technology development because of its high degree of flexibility and its outstanding resolution capability. There are many versions of electron lithography systems starting from modified scanning electron microscope (SEM) to mask-writers, shaped-spot systems, and electron-beam projection machines.

Converted SEM systems are best adapted for research where the throughput is not a critical issue. They are useful for applications involving small devices such as metallic junctions, few transistors, lines, dots, and small gratings. The pattern data are transferred to the controlling computer, which then directs a tiny focused electron beam (ebeam) to desired locations on the resist by deflecting and turning the beam on and off. The area of an individual chip is selected, and the beam draws out the features in that area pixel-by-pixel with a predefined dose. The scan rate is adjusted to deliver a “desired dose” of electrons to a selected area of the resist.

A crucial factor for electron beam lithography in the industry is the throughput performance. Scaling down features increases pattern density and hence exposure time. Throughput rate can be increased by projecting large complex patterns at one shot onto a resist-coated wafer. In this case, electron beam passes through apertures and masks on which shaped geometries like square or rectangle are fabricated. Electron projection lithography is one of the next-generation lithography techniques that could be used for printing sub-70 nm structures on a wafer. Well-known and promising projection systems are scattering with angular limitation projection electron-beam lithography (SCALPEL) developed at Lucent Technologies [14] and IBM prototype called projection reduction exposure with variable axis immersion lenses (PREVAIL) [15].

Figure 4.

A schematic illustration of proximity effects where red rectangles represent original exposed area. The beam energy was 20 keV and the exposing current is 15 pA.

The resolution of ebeam lithography depends strongly on the interaction which occurs between the electron and the resist/substrate layer. Considerable efforts have been made to understand the resolution limits in ebeam lithography [16, 17]. The size of the beam is not the limiting factor because sizes of nearly 2 nm are routinely reached and the diffraction effects are negligible. As the primary electrons hit the resist, part of their energy is dissipated in form of secondary electrons and backscattered electrons. Secondary electrons with energy lying between 2 and 50 eV and of few nanometer range contribute to the major resist exposure. Backscattered electrons experience instead a wide scattering angle into the resist and the substrate which dramatically broadens the exposure area [18, 19]. At 20 keV, for example, the range of backscattered electrons is about 5 µm meaning that patterns of less than that range will experience cumulative exposure. As a consequence, proximity effects, sample charging, and placement accuracy are major factors affecting electron beam lithography systems. Figure 4 is an example showing proximity effects on 200-nm-thick HSQ negative resist on silicon substrate. As a result, the corners of the big rectangles are not developed to desired size and also overlap between the small rectangle and the big ones is over exposed.

Fortunately, correction techniques exist to circumvent and attenuate effects of these limiting factors. This topic will not be completely addressed in this chapter, but we will instead present few ways used to correct for proximity effects. On way to perform this correction is to change the initial pattern and assign a proper dose to each element as illustrated in Figure 5.

Figure 5.

A schematic illustration of proximity effects correction made by changing the shape of the design and by assigning a dose to each element.

Figure 6.

A schematic illustration showing the extent of exposed regions in thick (left) and thin (right) substrate for two subsequent point exposures.

From a practical point of view, using thin resist layer and substrates with low atomic mass helps to decrease proximity effects by lowering the backscattered coefficient. As sketched in Figure 6, an incident electron beam “forward-scatters” hits the resist. Consequently, strong scattering in the thick substrate layer results in broadly distributed “back-scattered” electrons, which expose a wide region of the resist. This broadening is less pronounced for thin substrate. As demonstrated in Ref. [20], metallic nanogaps of sub-5 nm opening were successfully transferred to 100 nm thick using a thin silicon nitride substrate. In Ref. [20], the resist was 100-nm-thick PMMA exposed with 30 keV beam energy.

Advertisement

4. Pattern transfer

We had so far introduced lithography techniques widely used to expose appropriate resists. This lithography step is principally devoted to create a resist image and precisely define appropriate areas (pattern) on the substrate. After resist development, the pattern is transferred with micrometric or nanometric scale to the substrate after subsequent process steps such as liftoff or etching (see Figure 7).

Figure 7.

Exposed resist pattern transferred to the substrate by liftoff (top) and by etching (bottom).

Two major factors impact dramatically the fidelity of pattern transfer to the wafer, namely resist profile and overlay. Resist shape, that is, resist profile, requirement is generally dictated by post-lithography process steps. The profile is governed by exposure dose, the developer, as well as resist properties such as sensitivity and contrast. Let’s consider the case of liftoff process which is a widely used technique for fabricating micro- and nanodevices that combines lithography and thin film deposition. The resist under the deposited film is removed with a solvent leaving only the film on required locations of the substrate. The main conditions for a proper liftoff are the formation of an undercut in the resist after development and the perpendicular incidence of the film particle beam onto the substrate (Figure 8a). The undercut ensures that the evaporated metal, deposited on the patterned resist, is discontinuous, thereby enabling the working metal liftoff. As illustrated in Figure 8c, a successful lift-off could be obtained with resist profile having an “undercut” shape. In fact, wings at the edges of structure appear when the profile is not favorable like in the bowel shape case (Figure 8b, d).

Figure 8.

Scanning electron microscope images of resist profile (a,b) and line metallic structure (c,d). Images (a) and (b) were obtained using a trilayer of SML/ZEP520A/PMMA electron beam resists. Images (c) and (d) correspond to liftoff process of 100-nm-thick gold using SML electron beam resist. Raith 150 ebeam system was used to expose resists.

Overlay comes into play when several fabrication levels are needed to fabricate a given structure. Overlay error determines the precision at which a pattern is placed accurately in wanted position on the chip. Mostly, placement accuracies of sub-30 nm up to 1 µm are required to connect micrometric or nanometric scale elements to the pads or between other elements of the structure. Alignment marks are usually used to achieve such precisions, and the pattern-placement accuracy increases when the marks are as close as possible to the critical areas. Alignment system consists generally of a detector, which detects a given mark, and a software that analyzes and determines the center of the mark relatively to a reference. The accuracy depends not only on the detector signal but also on the quality of the marks. Depending on subsequent process requirements, these marks can be made from resist, metal or being etched. Figure 9 illustrates transferred ellipsoidal on silicon waveguide gold obtained by liftoff of 30-nm-thick gold. An alignment of <30 nm was required to properly align gold nanostructure on the waveguide [21].

Figure 9.

Scanning electron image of 11 ellipsoidal gold on Si waveguide for guided plasmonic applications.

Advertisement

5. Unconventional lithography techniques

As we have previously shown, lithography is a key step in the processes of micro and nanotechnologies. The aim is to structure a pattern in a polymer that has been deposited on a substrate. The polymer is generally an organic or inorganic resist. The structure will allow to realize other steps such as etching or materials’ deposit. Currently, this technology use conventional lithography techniques like optical lithography or electron beam lithography (EBL). Beyond these technologies, other methods are referenced as un-conventional to reduce the cost of production and permit large series. Among them, we have the nanoimprint lithography (NIL) (see Figure 1). This technique is based on printing in a polymer by using a mold which may be rigid or flexible. This method was developed in the 1990s because it allowed to obtain rapidly large area nanoscale patterns with low costs. The main steps are printing in the polymer with a mold, demolding, and transferring the pattern into the substrate. As shown in Figure 10, there are two main techniques of NIL. The first developed method is more commonly known as hot embossing thermal process. S.Y. Chou published the first results of this technique in 1995 [6].

Figure 10.

Principle of Thermal NIL (a) and UV-NIL (b).

A few years later, a second method called UV-NIL was developed in the Philips Research Labs [7]. In this case, the photon energy is used to cure the photosensitive resist. This process requires transparent mold and offers other advantages than thermal NIL. In the following paragraphs, we will present NIL techniques, mainly thermal and UV-NIL, how to design the mold, and we will also discuss about a new method called nanosphere lithography (NSL).

5.1. Thermal NIL

The principle of thermal NIL is to imprint in a thermoplastic polymer with a structured rigid mold [6]. The mold needs to have an antisticking treatment to avoid lifting printed patterns. This process uses a polymer heated at a temperature above its glass transition temperature (Tg) and a pressure between 10 and 200 bars during the imprint [22]. Thus, the mold is removed after cooling the substrate. Figure 10a shows the main steps of this method which provides resolution in the nanometer range. However, it has the drawbacks to operate with high temperatures and high pressures.

Figure 11.

(a) Process scheme for Si mold developed by EBL and HSQ resist and (b) SEM image of a silicon mold for nanogap electrodes (150 nm).

The fundamental step is to design the rigid mold; the materials used are mainly Si, SiO2, or quartz; and the pattern is realized by an electron beam lithography to achieve high resolution. Figure 11a shows an example scheme to process Si mold developed for nanogap electrodes with high-resolution HSQ negative-tone resist. Figure 11b is a SEM image of master mold (Si) obtained with this process for a gap of 150 nm and usable for thermal NIL. More complete details of the thermal NIL process are given in Ref. [23].

5.2. UV-NIL

The cross-linking of the resist with UV nanoimprint lithography is obtained by the photon energy. The mold can be flexible or rigid and necessarily transparent. Figure 10b summarizes this method used for both soft UV-NIL with a flexible mold and hard UV-NIL with a rigid mold in quartz. The UV transparent mold is imprint in a low-viscosity UV-curable resist UV at room temperature and low pressure between 0 and 1 bar [24]. The mold is firstly removed followed by etching of residual resist layer to permit a transfer of patterns in the substrate by liftoff technique or etching process.

For hard UV-NIL, the main goal is to design by ebeam lithography, the mold generally made in quartz. It is necessary to use a metallic or dielectric mask to control form factor of the pattern during the etch process [25, 26]. Before imprinting in UV-curable resist, a special treatment is applied to reduce surface energy of the mold. An antisticking is needed to avoid resist tear-off during “demold” step between mold and substrate [27]. The next step is to etch the residual layer and transfer in the substrate.

With soft UV-NIL, the flexible mold is generally in poly(dimethylsiloxane) PDMS [28] and obtained from a Si master mold. PDMS offers good chemical stability and high optical transparency [29]. The method to get the master mold is the same as described in the thermal NIL process. The next step is an antisticking treatment of the master mold, deposits PDMS mixed with his curing agent on master mold and bakes at 60° during 2 h. A full description of the process is made in reference [30]. Figure 12 shows an example of dots AFM image in PDMS stamp and stamp PDMS imprint in a UV-curable resist from AMO for gold nanoparticle applications. An example of 30-nm-thick gold array for plasmonic application is illustrated in Figure 12c.

Figure 12.

(a) AFM image of dots in PDMS stamp with a periodicity of 500 nm and height of 90 nm, (b) SEM image of an imprint in UV-curable resist (AMONIL from AMO GMBH) with PDMS flexible stamp obtained from the Si master mold and (c) 30-nm-thick gold nanoparticles array obtained by UV-NIL for plasmonic applications.

5.3. Nanosphere lithography

Nanosphere lithography (NSL) is a simple technique to implement and inexpensive. It is also called as colloidal lithography and allows well-ordered nanoparticles in a plane and on large surface. The structure obtained by NSL based on a self-assembling nanosphere achieves a colloidal mask in two dimensions (Figure 13). This technique has demonstrated to be well suited for the fabrication of size-tunable nanoparticles in the 20–1000 nm range [8]. This method can also be used to obtain silicon mold for NIL application. To file a nanosphere solution onto the substrate, several methods exist as spin coating, [31] drop coating file [32], and thermo-electrically cooled angle coating [33]. Nanospheres meet into 2D hexagonal mesh on the substrate due to capillary forces during the solvent evaporation. After the step of deposition of self-assembling nanospheres, a thickness material layer is evaporated by electron beam through the nanosphere mask. Then, nanosphere mask is removed with a solvent.

NSL mask fabrication may depend on the number of layers required to obtain nanostructure networks [34]. Figure 14 shows an example with a single and a double NSL layers and nanostructure arrays obtained with theses configurations. When a gold layer is deposited through a single monolayer by self-assembled nanospheres onto the substrate and NSL mask is removed, an array of triangular nanoparticles is obtained (Figure 14, left). For a configuration with two monolayers of nanospheres deposited and assembled, this is obtained by an increasing of the nanosphere concentration. When the second layer is assembled on the first, in order to obtain a significant part of a double layer of hexagonally assembled nanospheres, the free interstices where the material can be deposited on the substrate form an homogeneous pattern of hexagonal nanoparticles (Figure 14, right).

Figure 13.

Principle of nanosphere lithography.

Figure 14.

Schematic representation of gold nanostructures obtained with a single (left) and double layer (right).

Advertisement

6. Conclusion

Combined with deposition techniques, conventional lithography and un-conventional lithography are powerful tools to fabricate thin film functional devices. These tools offer tremendous opportunities to enhance not only device performances and reduce effective cost, but also to discover and explore new functionalities. It provides, in particular, the possibility to better control of pattern transfer in terms of resolution, density, and resist profile.

Advertisement

Acknowledgments

This work was done within the Center for Nanoscience and Nanotechnology clean room and partly supported by the RENATECH network and the General Council of Essonne.

References

  1. 1. Reynolds GJ, Kratze M, Dubs M, Felzer H, Mamazza R. Electrical properties of thin film capacitors fabricated using high temperature sputtered modified barium. Materials. 2012;5(4):644–660. doi:10.3390/ma5040644
  2. 2. Borges J, Costa D, Antunes E, Lopes C, Rodrigues MS, Apreutesei M, Alves E, et al. Biological behaviour of thin films consisting of Au nanoparticles dispersed in a TiO2 dielectric matrix. Vacuum. 2015;122(Part B):360–368. doi:10.1016/j.vacuum.2015.03.036
  3. 3. Qiu WL, Chang L, Lee D, Dannangoda C, Martirosyan K, Litvinov D. Patterning of magnetic thin films and multilayers using nanostructured tantalum gettering templates. ACS Applied Materials and Interfaces. 2015;7(11):6014–6018. doi:10.1021/am5090463
  4. 4. Le-Van Q, Le Roux X, Aassime A, Degiron A. Electrically driven optical metamaterials. Nature Communication. 2016;7(12017). doi:10.1038/ncomms12017
  5. 5. Roland I, Zeng Y, Checoury X, El Kurdi M, Sauvage S, Brimont C, Guillet T, Gayral B, Gromovyi M, Duboz JY, Semond F, de Micheli MP, Boucaud P. Near-infrared III-nitride-on-silicon nanophotonic platform with microdisk resonators. Optics Express. 2016;24(9):9602–9610. doi:10.1364/OE.24.009602
  6. 6. Chou SY, Krauss PR, Renstrom PJ. Imprint of sub-25 nm vias and trenches in polymers. Applied Physics Letters. 1995;67(21):3114–3116. doi:10.1063/1.114851
  7. 7. Haisma J, Verheijen M, VandenHeuvel K, VandenBerg J. Mold-assisted nanolithography: a process for reliable pattern replication. Journal of Vacuum Science and Technology B. 1996;14(6):4124–4128. doi:10.1116/1.588604
  8. 8. Haynes CL, Van Duyne RP. Nanosphere lithography: a versatile nanofabrication tool for studies of size-dependent nanoparticle optics. Journal of Physical Chemistry B. 2001;105(24):5599–5611. doi:10.1021/jp010657m
  9. 9. Mizoguchi H, Saitoh T, Matsunaga T. Development of light sources for lithography at present and for the future. Komatsu Technical Report. 2013;59(166).
  10. 10. Trouiller Y. From 120 to 32 nm CMOS technology: development of OPC and RET to rescue optical lithography. Comptes Rendus Physique. 2006;7(8):887–895. doi:10.1016/j.crhy.2006.10.001
  11. 11. Pease RF, Chou SY. Lithography and other patterning techniques for future electronics. Proceedings of the IEEE. 2008;96(2):248–270. doi:10.1109/JPROC.2007.911853
  12. 12. Bae WJ, Trikeriotis M, Rodrigues R, Zettel MF, Piscani E, Ober CK, Giannelis EP, Zimmerman P. High index nanocomposite photoresist for 193 nm lithography. Advances in Resist Materials and Processing Technology XXVI. 2009;7273:727326. doi:10.1117/12.814154
  13. 13. Kulmala TS, Vockenhuber M, Buitrago E, Fallica R, Ekinci Y. Toward 10 nm half-pitch in extreme ultraviolet lithography: results on resist screening and pattern collapse mitigation techniques. Journal of Micro-Nanolithography MEMS and MOEMS. 2015;14(3):033507. doi:10.1117/1.JMM.14.3.033507
  14. 14. Harriott LR, Berger SD, Biddick C, Blakey MI, Bowler SW, Brady K, Camarda RM, Connelly WF, et al. The SCALPEL proof of concept system. Microelectronic Engineering. 1997;35(1–4):477–480. doi:10.1016/S0167-9317(96)00189-X
  15. 15. Dhaliwal RS, Enichen WA, Golladay SD, Gordon MS, Kendall RA, Lieberman JE, Pfeiffer HC, Pinckney DJ, Robinson CF, Rockrohr JD, Stickel W, Tressler EV. Prevail—electron projection technology approach for next-generation lithography. IBM Journal of Research and Development. 2001;45(5):615–638.
  16. 16. Broers AN. Resolution limits for electron beam lithography. IBM Journal of Research and Development. 1988;32(4):502–513.
  17. 17. Vieu C, Carcenac F, Pepin A, Chen Y, Mejias M, Lebib A, Manin-Ferlazzo L, Couraud L, Launois H. Electron beam lithography: resolution limits and applications. Applied Surface Science. 2000;164:111–117. doi:10.1016/S0169-4332(00)00352-4
  18. 18. Dubonos SV, Gaifullin BN, Raith HF, Svintsov AA, Zaitsev SI. Evaluation, verification and error determination of proximity parameters alpha, beta and eta in electron beam lithography. Microelectronic Engineering. 1993;21(1–4):293–296. doi:10.1016/0167-9317 (93)90076-H
  19. 19. Rooks M, Belic N, Kratschmer E, Viswanathan R. Experimental optimization of the electron-beam proximity effect forward scattering parameter. Journal of Vacuum Science and Technology B. 2005;23(6):2769–2774. doi:10.1116/1.2062431
  20. 20. Fischbein MD, Drndic M. Nanogaps by direct lithography for high-resolution imaging and electronic characterization of nanostructures. Applied Physics Letters. 2006;88(6):063116. doi:10.1063/1.2172292
  21. 21. Fevrier M, Gogol P, Aassime A, Megy R, Delacour C, Chelnokov A, Apuzzo A, Blaize S, Lourtioz JM, Dagens B. Giant coupling effect between metal nanoparticle chain and optical waveguide. Nano Letters. 2012;12(2):1032–1037. doi:10.1021/nl204265f
  22. 22. Gourgon C, Perret C, Tallal J, Lazzarino F, Landis S, Joubert O, Pelzer R. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography. Journal of Physics D: Applied Physics. 2005;38(1):3870–3873.
  23. 23. Guo LJ. Nanoimprint lithography: methods and material requirements. Advanced Materials. 2007;19(1):495–513. doi:10.1002/adma.200600882
  24. 24. Hamouda F, Barbillon G, Held S, Agnus G, Gogol P, Maroutian T, Scheuring S, Bartenlian B. Nanoholes by soft UV nanoimprint lithography applied to study of membrane proteins. Microelectronic Engineering. 2009;86(XX):583–585. doi:10.1016/j.mee.2008.11.086
  25. 25. Bender M, Otto M, Hadam B, Spangenberg B, Kurz H. Fabrication of nanostructures using a UV-based imprint technique. Microelectronic Engineering. 2000;53(1–4):233–236. doi:10.1016/S0167-9317(00)00304-X
  26. 26. Voisin P, Zelsmann M, Gourgon C, Boussey J. High resolution silica molds fabrication for UV-Nanoimprint. Microelectronic Engineering. 2007;84(5):916–920. doi:10.1016/j.mee.2007.01.087
  27. 27. Truffier-Boutry D, Galand R, Beaurain A, Francone A, Pelissier B, Zelsmann M, Boussey J. Mold cleaning and fluorinated anti-sticking treatments in nanoimprint lithography. Microelectronic Engineering. 2009;86(4):669–672. doi:10.1016/j.mee.2009.01.065
  28. 28. Hamouda F, Barbillon G, Gaucher F, Bartenlian B. Sub-200 nm gap electrodes by soft UV nanoimprint lithography using polydimethylsiloxane mold without external pressure. Journal of Vacuum Science and Technology B. 2010;28(1):82–85. doi:10.1116/1.3273535
  29. 29. Schmid H, Biebuyck H, Michel B, Martin OJM. Light-coupling masks for lensless, sub-wavelength optical lithography. Applied Physics Letters. 1998;72(XX):2379–2381. doi:10.1063/1.121362
  30. 30. Barbillon G, Hamouda F, Held S, Gogol P, Bartenlian B. Gold nanoparticles by soft UV nanoimprint lithography coupled to a lift-off process for plasmonic sensing of antibodies. Microelectronic Engineering. 2010;87(5):1001–1004. doi:10.1016/j.mee.2009. 11.114
  31. 31. Hulteen JC, Van Duyne RP. Nanosphere lithography: a materials general fabrication process for periodic particle array surfaces. Journal of Vacuum Science and Technology A. 1995;13(XX):1553–1558. doi:10.1116/1.579726
  32. 32. Hulteen JC, Treichel DA, Smith MT, Duval ML, Jensen TR, Van Duyne RP. Nanosphere lithography: size-tunable silver nanoparticle and surface cluster arrays. Journal of Physical Chemistry B. 1999;103(19):3854–3863. doi:10.1021/jp9904771
  33. 33. Micheletto R, Fukuda H, Ohtsu M. A simple method for the production of a two-dimensional, ordered array of small latex particles. Langmuir. 1995;11(9):3333–3337. doi:10.1021/la00009a012
  34. 34. Kadiri H, Kostcheev S, Turover D, Salas-Montiel R, Nomenyo K, Gokarna A, Lerondel G. Topology assisted self-organization of colloidal nanoparticles: application to 2D large-scale nanomastering. Beilstein Journal of Nanotechnology. 2014;5(XX):1203–1209. doi:10.3762/bjnano.5.132

Written By

Abdelhanin Aassime and Frederic Hamouda

Submitted: 26 May 2016 Reviewed: 27 September 2016 Published: 08 March 2017