Open access

High-energy Electron Beam Lithography for Nanoscale Fabrication

Written By

Cen Shawn Wu, Yoshiyuki Makiuchi and ChiiDong Chen

Published: 01 February 2010

DOI: 10.5772/8179

From the Edited Volume

Lithography

Edited by Michael Wang

Chapter metrics overview

9,067 Chapter Downloads

View Full Metrics

1. Introduction

Recently, there has been much research in the field of nanostructure technology. The objective of this article is to explore the basic physics, technology, and applications of ultra-small structures and devices with dimensions in the sub-100-nm range. Nanostructure devices are now being fabricated in many laboratories to explore various effects, such as those created by downscaling existing devices, quantum effects in mesoscopic devices, tunneling effects in single electron transistors, and so on. In addition, new phenomena are being explored in an attempt to build switching devices with dimensions down to the molecular level. Today, the minimum size of semiconductor production devices is down to 45 nm or less. Miniaturization and performance improvements are allowing the electronics industry to shrink the future size of semiconductor devices. For the last three decades, technological advances in optical lithography have led the semiconductor industry. In the present day mass-production line, deep ultraviolet (DUV 193 nm) optics is used for critical dimensions approaching 90 nm. A further decrease in immersion lithography has pushed the production line into the 32-nm era. However, as wavelengths decrease, optical lithography begins to suffer from difficulties associated with shallow focus length and materials (including lenses, masks and resists) to do with dispersion relationships. Therefore, non-optical lithographic techniques such as electron-beam direct write (L. Pain et al., 2006), electron-beam projection (J. Yamamoto et al., 2000), ion-beam projection (Y. Lee et al., 1998), and soft X-ray extreme ultraviolet (EUV 13 nm) (S. Hector, 1998; C. W. Gwyn et al., 1998) are being increasingly focused in order to replace or “mix & match” with optical lithography. Electron beam direct write is the only technology that does not require masking. Electron beam lithography (EBL) is one of the versatile lithographic tools widely used for nanostructure fabrication.

In EBL, the critical dimension of the exposure patterns is limited by electron scattering in both electron-beam resists and substrates. The demand for fine patterns calls for EBL systems with high acceleration voltages. These have the advantage of having smaller forward scattering angles in the resist and a wide secondary-electron spread in the substrates. The electron scattering process depends greatly on incident electron energy and resist/substrate properties and is a very complex issue; thus the resultant energy-intensity distribution in the resist has to be calculated using Monte Carlo simulation. The calculated distribution is of Gaussian shape, and the contribution of secondary-electron exposure is exponentially suppressed with increased incident beam energy. Due to the long penetration depth in the resist, high-energy EBL allows for the exposure of very thick resists, which are useful for forming nanostructures with large height-to-width ratios. In multi-layer resists of different exposure sensitivities, the linewidth of each layer can be controlled separately by adjusting the development time and by using different developers after a single e-beam exposure. It will be shown that even after many development steps; the linewidth in the top layer remains unchanged. Precise control of the lower layers’ linewidths makes the fabrication of sophisticated three-dimensional (3D) structures possible. In this chapter, we will first give an introduction to the state-of-the-art high-energy EBL technique. This will be followed by discussions on electron-optics, Monte-Carlo calculations of energy-intensity distribution, resist profile engineering, and mix-and-match techniques. Finally, we will give some examples to illustrate fabrications of nanoscale electronics and 3D structures, and discuss issues that have to be taken into account when using a 100keV EBL system.

Advertisement

2. Introduction to high-energy EBL system

There are two types of electron beam lithography systems: the point-beam type and the variable-shaped beam type. This chapter introduces some of the basics about the former. The point-beam EBL system, because of its ability to draw patterns in extremely small dimensions, is widely used for cutting-edge research and development, and because of its flexibility in pattern change, is also used in the high-mix, low-volume production of various devices. The point-beam EBL system can generate a high-resolution electron beam with a large electric current on a nano-Ampere order that facilitates the high-speed drawing of fine patterns on the substrate. The high-energy EBL system consists of two major components: the main body and the control system. The former includes the electron-optical system (electron-optical column), high-precision stage, and vacuum pumps; the latter includes data files, the controller computer, and the CAD system. Figure 1 provides an overview of the high-energy EBL system. Each unit has the following respective functions:

  1. Electron-optics control system:

    It controls the focusing position and the intensity of the electron beam. It consists of the electron gun for generating the electron beam, the blanker for turning on/off the electron beam at high-speed, the electromagnetic lens for sharpening the beam, the beam alignment system for adjusting the beam with the optical axis, the astigmatism correction system, and the electrostatic deflection system.

  2. High-precision stage control system:

    It controls the high-precision movement of the sample/substrate to be processed. It consists of the X-Y-Z stage for sustaining and moving the sample/substrate, laser interferometer system, specimen chamber which houses the stage and the specimen changing chamber.

  3. Lithography control system:

    It sends the lithography control data to the main body. It consists of the hardware including the lithography pattern generator and the software for system operations.

  4. Evacuation control system:

    It controls the vacuum degree at the electron optical system, the lithography chamber housing the stage, and the specimen changing chamber according to the required steps of the lithography operation.

Figure 1.

Schematic drawing showing the major components of a high-energy electron beam lithography system.

Advertisement

3. Electron-Optical control System (1)

The electron-optical control (EOC) system, whose structure is shown in Figure 2, is the heart of the high-energy EBL system. It generates a tightly-focused electron beam with a large current density, allowing for the drawing of ultra-fine patterns. While the focusing system of an optical microscope consists of optical lenses, the focusing system in an electron beam optical column comprises a set of electromagnetic lenses which focuses the electron beam and is used in electron microscopes. The EOC system consists of four-tier electromagnetic lenses as shown in Fig. 2. After going through these four electromagnetic lenses, the beam spot diameter will be reduced to almost one tenth: from 15 ~ 20 nm at the starting point to less than 2 nm when hitting the specimen. There are seven main components of the EOC system as indicated in the diagram. This section will describe items 1 - 3, which are relevant to beam generation and focusing.

Figure 2.

Cross-section drawing of an electron-optical control system along with a ray-trace of the electrons as they pass through a series of electron-optical components.

3.1. (1) Thermal Field Emission Electron Gun

When an extremely strong electric field (about 5×109 V/m) is applied to a metal surface in the direction of the metal’s interior, the free electrons in the metal will penetrate the potential barrier at the metal’s surface and will be emitted into the outer vacuum space due to the quantum mechanical tunneling effect. This phenomenon is called Field Emission. To initiate the field emission, the tip of field emission electron gun cathode is manufactured so that its curvature radius becomes as small as approximately 1μm, and a voltage of about 3kV is applied at the tip against the anode. There are two types of field emission electron guns: the cold type, whose cathode is used at room temperature, and the thermal type, whose cathode is heated to about 1800K when in use. The former has the advantage that the energy of the electrons is kept relatively constant, whereas the latter has the following advantages: 1, the degree of tolerance of the environmental vacuum during operation is large; 2, the angular current density is about ten times as large as that of the cold type; 3, the necessary field intensity is relatively low; and 4, the electron beam can be kept highly stable over a long period.

Because of these advantages, the thermal field emission cathode is generally used in the EBL system. The needle-shaped cathode of the thermal field emission (TFE) gun (Figure 3) is made of a single tungsten crystal, to the bottom of which a heater line made of polycrystalline tungsten is welded. The center of the needle-shaped cathode is coated with zirconium dioxide (ZrO), which spreads over the surface of the single tungsten crystal up to the cathode tip, drastically reducing the potential barrier at the tip’s surface. Figure 4 shows the structure of a TFE gun, whose cathode is equipped with a suppresser and an extractor electrode for extracting the electron beam.

Figure 3.

A ZrO/W Thermal field emission electron source. The singe crystal tungsten is coated with a layer of zirconium oxide to reduce the work function barrier.

Figure 4.

A thermal field emission gun with a suppresser and an extractor electrode. The electrodes are circularly symmetric about the optical axis. The suppressor is negatively biased with respect to the cathode, while the extractor is positively biased.

3.2. (2) 100kV Accelerator

Of the electron beam emitted from the electron gun, the high-quality beam at the flux core (φ15 - 20nm) will enter into the acceleration system, where it will be accelerated up to a specified energies (Figure 5). The higher the acceleration voltage, the finer the patterns the system can draw uniformly. The lithography examples with different acceleration voltages are shown in Figure 6. By accelerating the beam up to 100kV, patterns finer than 5nm can be drawn even using commercially available resists. The stability of the high-voltage system is

Figure 5.

Biasing circuit for a thermal field-emission electron gun.

Figure 6.

Example of EBL resists patterns with different acceleration voltages. From left to right, the acceleration voltages are 100kV, 50kV and 30kV.

most important, as any fluctuation in the acceleration voltage directly affects the electron beam energy, changing the focus distance and the deflection angle of the electron beam. This in turn causes defocusing or a skewness in the drawn patterns. The micro-discharge during the drawing session will also occasionally cut the electron beam, leaving some parts of the pattern undrawn. To prevent these problems, the high-voltage system must be carefully designed and manufactured. Such prerequisites make realizing high acceleration voltage technically challenging.

3.3. (3) Electromagnetic Lens

Electromagnetic lenses are used to focus the electron beam. The beam focusing mechanism of the lithography system is basically the same as that of the electron microscope. The only major difference from the microscopic system is that the second and third electromagnetic lenses are placed after the focusing lens in order to fix the focus point on the fourth electromagnetic lens, which works as the objective lens. This configuration is designated as a zoom lens, and allows for the easy adjustment of the reduction ratio of the beam diameter and the irradiating current without changing the excitation of the objective lens. By fixing the excitation of the objective lens, stability and repeatability, critical elements of the high-energy EBL system, are achieved. This allows the stable drawing of patterns from a few nm to several hundreds nm (Figure 7).

Figure 7.

A schematic of electromagnetic lens system. By applying current to the wire coiled around an iron cylindrical core, a magnetic field is created which acts as a lens. The EBL system takes electrons from a source through the condenser and objective electromagnetic lenses and focuses the beam on a spot on the specimen.

Advertisement

4. Electron optical system – 2

This section describes items 4 - 7 in Fig. 2, which are relevant to controlling the focusing position of the electron beam.

4.1. (4) Beam Axis Alignment Coil

Alignment of the electron beam center axis to the optical axis of the electromagnetic lens is essential. Beam axis alignment coil is employed to correct the micron-order deviation between the lenses. There are 4 sets of alignment coils; they are placed immediately above each of the four electromagnetic lenses. Each alignment coil consists of two coils which generate a horizontal magnetic field in the X-Y plane, deflecting the electron beam slightly by the Lorentz force, as shown in Fig. 8.

Figure 8.

Beam axis-alignment coils. Each alignment coil consists of two coils which generate a horizontal magnetic field in the X-Y plane, deflecting the electron beam slightly by the Lorentz force.

4.2. (5) Blanking Electrodes

In both vector and raster scan model, the electron beam is “turned off” between the designed entities. This is done by a beam blanker which deflects the electron beam far away from the optical axis so that the beam will not reach the specimen. This is accomplished by applying an electric field perpendicular to the optical axis (Figure 9). However, if the beam is on the surface of the specimen when it is deflected from the axis, an unwanted whisker-like line is drawn on the specimen. To overcome this issue, the beam blanker consists of two deflectors placed above and below the blanking aperture. The two deflectors generate electric field of the same strength at the same time and bend the beam by the same amount. Using this method, a virtual electron optical source is formed and the beam is shifted with no lag.

Figure 9.

A beam blanker which consists of two sets of electrostatic deflector. The blanking systems involving multiple sets of plates prevented beam motion lag between the blanking and unblanking processes.

4.3. (6) Astigmatism Corrector

Although the apparent beam-form on the specimen should ideally be a perfect circle, the beam always has astigmatism (Figure 10). Possible causes of astigmatism are: (a) Asymmetry of the electromagnetic field on the beam path due to manufacturing imperfection, which deviates the lenses and the pole piece from the rotational symmetry. (b) contamination in the optical column. Such astigmatism is corrected by a special astigmatism corrector, which consists of a pair of coils, each comprising four poles, and placed against each other at a 45-degree rotation. This means that the corrector has eight poles in total, as shown in Figure 11. When the electron currents I1 and I2 are applied to the respective coils, they generate magnetic fields, which will be unified as a synthetic field. As the electron beam progresses downward perpendicularly to the beam cross-section, the electric current flows upward. Therefore, according to Fleming's Law, the beam receives a force toward the direction indicated by the arrow, producing astigmatism. By applying this electrically-created astigmatism vertically in the direction of the intrinsic astigmatism of the electron-optical system, the effects of the two astigmatisms cancel each other out, thus eliminating any astigmatism from the electron-optical system.

4.4. (7) Electrostatic Deflector

The electron-optical system described above creates an excellent electron beam spot (focusing spot) on the specimen. Lithography is performed by irradiating the beam spot accurately on the intended position of the specimen. The electrostatic deflectors shown in figure 12 do the job. Similar to the beam blanker, the electrostatic deflectors control precisely

Figure 10.

A comparison of apparent beam-form on the specimen.

Figure 11.

Schematic drawing of the magnetic field distribution in astigmatism corrector. The corrector eliminates the astigmatism by generating a magnetic field which shifts the beam back into its optimum shape.

the deflection angle of the beam, which determines the positional accuracy of the exposure pattern. In addition, a tight focus is important for ultra-fine patterns. To this end, the distance between the specimen and the objective lens, which is the last tier of the magnetic lens system, must be as short as possible. Because of this restriction, the electrostatic deflector is positioned above the objective lens. At the same time, to minimize any aberration the axis of the beam has to be aligned precisely to the center of the objective lens. To do this, high-energy EBL systems specialized for ultra-fine patterns are equipped with a two-tier deflector by which the beam is first deflected in one direction and then is deflected back. To move the beam to the designed location on the specimen, the two-tier deflection is independently applied in both X and Y directions. The preciseness of the beam positioning depends on the precision of the voltage applied to the deflector plates. The voltage is usually controlled at a precision of 1/240,000 using an 18-bit DAC. There are two types of beam-scan methods that use the electrostatic deflector: the vector-scan and the raster-scan. The vector-scan is a method in which the beam is deflected only over the entities to be exposed. The raster-scan is a method in which the beam scans at constant speed, while turning on/off the beam according to the presence or absence of a pattern (Figure 13). There are special scan methods to draw arcs and circular patterns, too. In these methods, the ordinary X-Y orthogonal coordinate system is only used for beam positioning, and a special scan generator is used to scan the beam on the circumferential coordinate system.

Figure 12.

Schematic drawing of an electrostatic deflectors which controls precisely the deflection angle of the beam. The system consisted of two-tier deflector to minimize any aberration the axis of the beam.

Figure 13.

A comparison of beam scanning methodologies: raster scan (left) and vector scan (right).

Advertisement

5. Proximity effects and energy intensity distribution

Resolution in optical lithography is limited by the wavelength of light. Since the wavelength of an electron beam is much shorter, this is not an issue in the case of electron-beam lithography. When the electron beam bombards the resist, many of the electrons experience small-angle forward scattering, which tends to broaden the primary beam size. As the electrons penetrate the resist and enter the substrate some of them undergo large-angle scattering events, leading to backscattering, in which these electrons return back to the resist in places far from the spot where the primary beam entered. The forward scattering arises from electron-electron interactions, which deflect the primary electrons by a typically small angle, thus statistically broadening the e-beam in the resist (and further in the substrate). The majority of the electrons are not terminated in the resist but penetrate the substrate. These electrons can still contribute to the exposure of the resist by scattering back into the resist, causing subsequent inelastic exposure. This backscattering process originates, for example, from a collision with a heavy particle such as a substrate nucleus, leading to wide angle scattering of the electrons. The collisions take place in an area several micrometers from the substrate surface. This causes additional, usually undesirable, exposure in the resist and is known as the electron beam lithography proximity effect (McCord & Rooks, 1997). The proximity effect turns out to be the most significant factor limiting the linewidth of electron-beam lithography -- it broadens the effective area of exposure in the resist, thus increasing the minimum attainable feature size. In terms of this, high-energy electron beams helps to minimize the effect. The electron scattering trajectories are calculated using Monte Carlo simulation and the results are presented in Figure 14. It shows trajectories in the resist

Figure 14.

Monte Carlo simulation of electron scattering trajectories on a resist-coated silicon substrate at (a) 30 kV and (b) 100 kV incident energies.

Figure 15.

A schematic drawing showing the electron scattering behavior for electron beam energies of 30 keV and 100 keV on a resist-coated silicon substrate. The exposure is made by the electrons that are scattered from the substrate back to the resist.

and in the silicon substrate for electron beam energies of 30 keV and 100 keV. As the beam energy increases, the forward scattering is reduced and the back scattering area gets deeper and wider, as shown in Figure 15. We note that both forward scattering and backword scattering distributions are of a Gaussian shape. The energy intensity distribution (EID) is often approximated by the sum of two Gaussian distributions:

E I D ( r ) = 1 1 + η ( 1 π σ f 2 exp ( r 2 σ f 2 ) + η π σ b 2 exp ( r 2 σ b 2 ) ) E1

where η is the ratio of the backscattered energy to the forward scattered energy, f is the forward scattering range parameter which is associated with the exposure resolution, and b is the backscattering range parameter which affects the proximity effect. Although the backscattering is widely spread for a 100keV e-beam, the electrons (called secondary electrons) that return to the resist from the substrate possess energies that are lower than the threshold for breaking the polymers in the resist, and do not make effective exposures. The exposure is mainly contributed by the primary electron, which produces a much narrower exposure width.

The proximity correction algorithm is another approach for minimizing the unwanted stray exposure, which fine tunes the exposure dose at the edges so as to reveal the designed pattern. For realization of this approach and successful application of the technique to EBL a thorough understanding of electron scattering in solids as well as precise data for spatial distribution of absorbed electron energy intensity in the resist are needed. A proximity effect correction algorithm (T.H.P. Chang, 1975) requires an accurate knowledge of the energy intensity distribution profile in the resist layer caused by a point spread. For a planar and homogeneous substrate, an important property of these profiles is that the shape is independent of dose and position. As shown in Figure 16, thin resist films with a low electron density will reduce forward scattering and thus the achievable feature size. Similarly, thin membrane substrates lower the amount of backscattering and help to reduce the exposure area. In addition, substrates made of light nuclei will reduce backscattering. On substrates with 'heavy' films, such as gold coatings, electron backscattering increases significantly, but the details also depend on the substrate’s thickness.

Figure 16.

Forward scattering range parameter as a function of resist thickness at different acceleration voltages.

Advertisement

6. Resist profile reengineering

The lift-off process is one of the most widely used methods for transferring patterns from the resist layers to the material to be structured. A sufficiently large undercut resist profile is a prerequisite for clear separation between the evaporated films on the resist and on the substrate. In view of this, high-energy electron beam exposure is not advantageous as it produces a straight resist profile due to dominating forward electron beam exposure. Here we show that this problem can be eliminated in the PMMA/LOR resist system. When the lift-off resist (LOR), which is based on a Polymethylglutarimide (PMGI) platform, became available, it immediately attracted increasing attention because it is dissolvable in alkali chemicals such as CD26 (from Shipley) but inert in most organic solvents and acids. Further, it is insensitive to electron beam exposure. Since PMMA is inert to CD26, the combined PMMA/LOR by-layer resist is an ideal system for creating a clear undercut for the lift-off process (Y. chen et al., 2002). In addition, the size of the undercut in the LOR layer can be independently controlled by the development time. Shown in Figures 17 (a-c) are the profiles of the PMMA/LOR bi-layer resist with LOR resist development times of 25 sec, 35 sec and 45 sec, respectively. Note that while the undercut size changes from 100 nm to 325 nm, the linewidth on the PMMA layer remains at 100 nm. The dependence of the undercut size on the development time is depicted in Figure 18. Because of the high selectivity in the developer, the linewidth in the PMMA layer can be very small even for a long LOR development time. This is best seen in Figure 19 where the undercut is as large as 430 nm, but the linewidth in the PMMA trench is only 13.5 nm. The inset shows 18 nm-wide

Figure 17.

a-c) SEM image of the PMMA/LOR bi-layer resist profiles with LOR resist development times of 25 sec, 35sec and 45sec, respectively.

Figure 18.

The dependence of undercut size on the development time for different linewidths of the PMMA layer.

Figure 19.

SEM image of the resist profile with narrow linewidth in the top PMMA layer and a large undercut in the LOR bottom layer. The undercut is 430nm while the linewidth in the PMMA trench is 13.5nm.The inset shows 18nm-wide aluminum wire made by using this bi-layer as the lift-off mask.

Figure 20.

SEM images of PMMA/LOR bi-layer resist. The larger the undercut in the LOR bottom layer, the more the bend in the PMMA top layer.

Figure 21.

SEM images of various types of 3D nanostructures made using the layer–by-layer spin-coating technique.

aluminum wire made by using this bi-layer as the lift-off mask. This resist system can also be used as a hanging-bridge mask in the two-angle evaporation process. In addition, due to tension in the PMMA, suspended PMMA usually bends. As the LOR undercut increases, so the PMMA becomes more pliable, as shown in Figure 20. Furthermore, with long penetration depth in the resists provided by a high-energy electron beam and the large contrast in exposure sensitivity between PMMA and LOR, we were able to make multilayer structures. This approach fully utilizes the self-alignment feature and requires only a single lithography step. Figure 21 shows different types of three-dimensional structures made using the layer–by-layer spin-coating technique.

Advertisement

7. Mix-and-match technique

Mix-and-match lithography continues to gain acceptance as a valuable strategy for reducing capital costs and increasing throughput productivity in semiconductor manufacturing (see Figure 22). This is a technique for drawing an EBL pattern overlapping the photo-lithographically-made pattern already drawn on the specimen. The successful implementation of mix-and-match lithography requires consideration of the unique characteristics of both systems. The main issues include design of the alignment marks and alignment strategy. In the case shown in Figure 22, crisscross Au alignment marks were made with optical lithography at the beginning of the process flow. Since the alignment marks are to be covered by an e-beam resist for the following EBL, they should be thick enough to give off a clear secondary electron image. By the same token, high-atomic weight metals such as gold (Au) are preferred as an alignment mark metal. For high energy EBL,

Figure 22.

Schematic illustration of the mix-and-match technique concept. The patterns shown at top-left and bottom-left are made by photo-lithography whereas the pattern at right, which is a blowup view of the center of the chip, is made by e-beam lithography.

the secondary electron signal is relatively weak so thicker Au align marks are usually required. In cases where metal alignment marks are not allowed, such as in the fabrication of semiconducting devices in which metal contamination is a concern, alignment marks in the form of deep trenches on the semiconductor substrate can be considered. To correct the rotational displacement, a set of alignment marks (called chip marks) on the specimen and at least an alignment mark on the stage (called a global mark, see Figure 23) are required.

Figure 23.

Global marks and chip marks used in the mix-and-match technique. The global marks are used for acquiring the stage coordinates. To correct the rotational displacement, a set of chip marks on the specimen and at least a global mark on the stage are required.

The global marks are used for acquiring information about the stage coordinates. In this process, the system is operated in the SEM (scanning electron microscope) mode so that the secondary electron images of the marks can be captured and registered. If more than two alignment marks on the specimen are registered, both positions and direction of the specimen within the X-Y field will be calculated. With the information of all alignment masks on both specimen and stage, the coordinates of the specimen can then be re-calculated and aligned in respect to the electro-optics of the EBL system. Figure 24 shows an example of the overlay lithography. In this example, the first set of the Au line-array was made by EBL exposure followed by a lift-off process and the second set was made by the same method but over laying the first one with a high degree of accuracy.

Advertisement

8. Examples of nanodevices

The fabrication processes for making several nanodevices are presented in this section to illustrate the different techniques and applications involved.

Figure 24.

An example illustrating overlay accuracy. The first set of the Au line-array (bottom array) was made by EBL and the second set of line array patterns was exposed and aligned to the first one with high accuracy.

8.1. Quasi three-dimensional suspended polymer photonic crystals

Two dimensional (2D) photonic crystals, which can manipulate the propagation of in-plane light at telecommunication wavelengths, is nowadays an area that receives much attention (S. Noda et al., 2000). This device consists of a 2D array of air holes embedded in a high dielectric slab constructed on top of a low dielectric substrate and can be made using present-day semiconductor fabrication technologies. A polymer based photonic crystal with a photonic band gap is a good candidate for optical integrated circuits because of its low propagation loss at telecommunication wavelengths and its compatibility with optical fibers. Polymethylmethacrylate (PMMA) is a widely used polymer material because it is a standard resist for e-beam lithography and can also be used in nanoimprint lithography (Clivia M Sotomayor Torres, 2004) owing to its low glass transition temperature (T g =105 C). For photonic crystal application, the PMMA layer should have good verticality, a large aspect ratio, and high dimensional accuracy. For fabrication of polymer suspended photonic crystal structures with sub-100 nm resolution, the undercut of the sacrificial layer should be accurately controlled. To this end, a PMMA/LOR bi-layer polymer system is employed because the lift-off resist (LOR) is a polydimethylglutarimide-based polymer and has a much higher charge-sensitivity than that of the top PMMA layer (C. S. Wu et al., 2007). With a prolonged development time, the LOR layer can be removed completely and the top PMMA becomes suspended. Figure 25 shows an SEM image of a suspended polymer photonic crystal slab with a hexagon array of air holes in which the LOR layer serves as a sacrificial layer. Another important feature of the bi-layer polymers process is that the suspended structures can be made on virtually any kind of substrate, which is not possible in the case of semiconductor-based quasi-3D photonic crystals.

Figure 25.

SEM image of a suspended PMMA quasi-3D PC slab with a hexagonal array of air holes. The hole radius is 260nm, and the lattice constant is 800nm. The inset shows top view of a PMMA photonic crystal slab.

8.2. Three-dimensional polymer photonic crystals

Although quasi-3D photonic crystal slabs are useful for specific applications, they have limited potential in applications requiring confinement in all directions, which can only be achieved with 3D photonic crystals. Fabrication of 3D photonic crystals for short wavelength applications presents a great challenge, even for present-day semiconductor fabrication technology, as it requires definition and alignment of submicron features in the vertical. Multilayer structures can be made by using long penetration depth in resists provided by the high energy electron beam and the large contrast in exposure sensitivity between PMMA and LOR. This approach fully utilizes the self-alignment feature and requires only a single lithography step. Figures 26 (a) and (b) show respectively the cross-section view of a ten-layer line-array structure and the top view of a ten-layer pillar structure made using the layer–by-layer spin-coating technique. It is worth noting that due to electron beam forward scattering in the thick polymer the diameter of the LOR posts at the bottom is somewhat narrower than for those at the top. Since the top few layers have been developed nine times more than those of the lower layers, the small variation in feature sizes also suggests that the process window is wide regarding the developing time. The multilayer pillar structure shown in Figure 26 (b) has tetragonal lattice symmetry with a basis consisting of a square. The multilayer pillar structure shown in Figure 26 (b) has tetragonal lattice symmetry with a basis consisting of a square “roof” supported by a square “pole”, and a photonic crystal band gap is possible. Furthermore, inverted 3D pillars made of high-reflective-index dielectric materials would help in enlarging the local band gaps, making an overlap between gaps more possible. The 3D pillars can be constructed by filling (e.g. electroplating [Y. W. Su et al., 2003]) the air gap using the multilayer polymer structure as a mold.

8.3. Magnetic Single-electron transistors

Electronic transport in nonmagnetic (NM) tunnel junctions has been extensively studied in the past two decades. However, it was only a few years ago that attention was drawn to electron tunneling in magnetic systems (J. S. Moodera et al., 1995; H. Imamura et al., 2000), which became possible owing to recent progress in nanotechnology. Magnetic multi-

Figure 26.

a) Cross-section view of a 10-layer line-array structure (b) Top view of a 3D PMMA/LOR pillar structure. The square PMMA roofs are 770nm on each side and 200nm in thickness. The LOR pillars are 550nm on each side and 500nm in height. The inter-roof distance is 230nm and the lattice constant is 1m.

junctions seem to be of great importance for future electronics based on spin-dependent electronic transport (spintronics) and for information storage technology. Suspended shadow-mask evaporation is a simple, robust technique for fabricating tunnel junction device structures using electron-beam lithography. The basic process entails the fabrication of an undercut structure in a resist bilayer to form a suspended “bridge” followed by two angle evaporations of aluminum material with a brief oxidation step in between. The result is two overlapping wires separated by a thin layer of oxide. In the shadow evaporation, to prevent shorts between the source and the drain electrodes, the minimum possible length of the island electrode is limited by the available minimum line separation in the hanging-bridge mask, which is most likely not less than 50 nm due to the proximity effect. However, high-energy EBL can minimize the proximity effect due to a wide secondary electron spread in the substrate, which helps to reduce pattern broadening. We have demonstrated in Figs. 18 and 19 the high controllability of the undercut profile in the lift-off process using the PMMA/LOR bi-layer resist. By tuning these processing parameters, an undercut length of between 20 nm and 300 nm can be precisely controlled, which paves the way for the reliable lift-off processes for sub-50 nm patterning. For instance, metallic magnetic single electron transistors can be fabricated using a PMMA/LOR resist together with the four angle shadow evaporation technique (as shown in Figure 27). With four evaporations at different tilt angles, one can obtain four patterned structures shifted in respect to each other. As the tilt angles can be controlled to a high degree of precision, the four structures can be shifted to desired locations to form small overlapping regions, which act as source and drain tunnel junctions.

8.4. Ultra-small Silicon quantum dots

Quantum-dot single electron transistors (QD-SETs) provide an interesting playground for unraveling the rich physics that exists on a mesoscopic scale (D. Heiss, 2005). Semiconductor quantum dots nicknamed ‘artificial atoms’ provide a discrete energy level spectrum (M. Bayer et al., 2000). When incorporated with charging effect in the form of QD-SETs, they are capable of probing electron dynamics through quantized levels, and are all the more exciting and fascinating. The device was fabricated on a 220 nm thick top silicon layer of a

Figure 27.

a) SEM image of a ferromagnetic single-electron transistor. (b) Schematic of the four-angle shadow evaporation steps; Al (red), Co (cyan), NiFe (black) and AuPd (purple) are subsequently deposited.

Silicon-On-Insulator (SOI) wafer with a 400 nm thick buried silicon-dioxide layer. In order to fabricate narrow constrictions, the top silicon layer was thinned down to 60nm using thermal oxidation, followed by etching in buffered HF solution. Photolithography was used to define a micrometer scale electrode pattern together with the positioning markers needed for the top silicon layer. Inductivity-coupled-plasma etching (ICP) with SF6+C4F8 and 3:5 mixture gases, was then employed to etch the unprotected silicon and subsequently transfer the electrode patterns. This was followed by a second photolithography procedure to define the Ohmic contact pads. The island and constrictions (see Figure 28) were then defined and patterned by high-energy EBL and ICP etching, respectively. After the formation of the device structure, dry thermal pattern-dependent-oxidation at 900ºC for 1hr was used to further narrow down the constrictions (K. Yano et al., 1994) between the island and the source/drain electrodes to ~5nm (M. C. Lin et al., 2007). The oxidized silicon formed in the process on the surface of the device was then chemically etched away using buffered HF solution and, as a result, nano-constrictions and the large island were floated. The elimination of the underlying SiO2 layer helped to increase charging energy and to deduce possible random offset charges embedded in the substrates. Finally, Ohmic contacts (Ni/Au, 150nm/400nm) were patterned by the lift-off technique and served as the source and drain leads for electron transport measurements.

Figure 28.

SEM image of a silicon quantum-dot single-electron-transistor before removal of SiO2. Inset shows a blowup of the center region after removal of SiO2. The device contains a big island connected to leads via small dots present in the nano-constrictions (indicated by red arrows).

8.5. Hard x-ray Fresnel zone plates

Synchrotron radiation sources are the preferred light sources for X-ray microscopes. The intensity of the synchrotron X-ray is a million times higher than the conventional X-ray. High intensity X-ray gives great transmission efficiency and can shorten the exposure time. The transmission X-ray microscope (TXM) is a valuable tool in research fields such as physics, materials science and biology. The Fresnel zone plate (FZP) is used to focus the X-ray and is an essential component for determining the resolution of TXM. In hard-X-ray imaging, the high X-ray photons could penetrate thicker metals and FZP with thick high-Z metals is needed to impede these photons. Fabrication of large-area, fine metal structures with high aspect ratios presents a great challenge to EBL. For example, for a 30nm image resolution with multi-keV X-rays, the Au FZP must be at least 450nm thick and the aspect ratio should be higher than 12. The high-energy electron beam direct writer can define patterns with high resolution (< 10 nm) and small stitching error (< 20 nm). Moreover, development of such a pattern is a crucial step. The FZP patterns require a thick resist structure where the feature size is smaller than 50nm. However, this cannot be achieved by using commonly used developers such as a 1:3 ratio mixture of MIBK (methylisobutylketone) and IPA (2-propanol). It is shown (Y. T. Chen et al., 2008) that a specific mixing IPA and water can produce sufficient contrast and precision for high-aspect-ratio FZP patterns. The final metal nanostructure was obtained by gold electro-deposition in the open trenches of the resist pattern. Details of the electrodeposition procedure—similar to an LECD (localized electrochemical deposition) approach—has been reported elsewhere (G. C. Yin et al., 2006). The period of zones is 60 nm, including a 30 nm outermost zone width, and the thickness of FZP is 450 nm (see Figure 29). FZP devices were employed to image the Siemens Star pattern, and 20 nm-resolution was demonstrated by TXM at 8 keV X-ray (Y.T Chen et al., 2008).

Figure 29.

SEM image of a 450nm-thick Au FZP with 30 nm outermost zone. The pattern is generated by using an Elionix ELS-7000 system operating at 100 keV and 10 pA.

Advertisement

9. Conclusion

High-energy electron beam exposure tools possess several key features required for high fidelity lithography including high flexibility, high resolution, accurate linewidth control and pattern overlay. Using a vector-scanning electron beam, it requires no mask and has the ability to write a variety of pattern geometries giving it significant advantage over other lithographic techniques. However, the electron beam exposure is an ingredient of EBL in which the resist treatment and development process are equally important. EBL is inherently slow compared to photolithography due to the serial pattern writing method used. However, thanks to advances in electron beam lithography technology, such as variable shaped electron beams and the use of microcolumn arrays (E. Yin et al., 2000), throughput can be significantly improved. Nevertheless, the direct writing EBL has been the most flexible system for making a variety of nanodevices and prototypes with critical dimensions below 10 nm. The direct writing approach will continue to play a major role in nanofabrication and remain the de facto technique for making masks for other advanced lithographic processes.

Special thanks are due to Ming-Chou Lin, Jia-Hong Shyu, Fou-E Tang, and Teik-Hui Lee for providing SEM images of their samples. Technical support from NanoCore, the Core Facilities for Nanoscience and Nanotechnology at Academia Sinica, is acknowledged.

References

  1. 1. Wu C. S. Lin C. F. Lin H. Y. Lee C. L. Chen C. D. 2007 Polymer-based photonic crystals fabricated with single-step electron beam lithography, Advanced Materials, 19 3052 3056
  2. 2. Clivia M. Sotomayor Torres. edited 2004 Alternate Lithography: Unleashing the Potentials of Nanotechnology, Kluwer Academic, Plenum publishers, New York
  3. 3. Gwyn C. W. Stulen R. Sweeney D. Attwood D. 1998 Extreme ultraviolet lithography, J. Vac. Sci. Technol. B, 16 3142 3149
  4. 4. Heiss D. 2005 Quantum Dots: Doorway to Nanoscale physics, Lecture Notes in Physics, 667 97 130 , Springer, Berlin
  5. 5. Yin E. Brodie A. D. Tsai F. C. Guo G. X. Parker N. W. 2000 Electron optical column for a multicolumn, multibeam direct-write electron beam lithography system, J. Vac. Sci. Technol. B, 18 3126 3131
  6. 6. Yin G. C. Song Y. F. Tang M. T. Chen F. R. Liang K. S. Duewer F. W. Feser M. Yun W. Shieh H. P. D. 2006 30 nm resolution x-ray imaging at 8 keV using third order diffraction of a zone plate lens objective in a transmission microscope, Appl. Phys. Lett. 89 221122
  7. 7. Imamura H. Chiba J. Mitani S. Takanashi K. Takahashi S. Maekawa S. Fujimori H. 2000 Coulomb staircase in STM current through granular films, Phys. Rev. B, 61 46 49
  8. 8. Yamamoto J. Murai F. Someda Y. Uchino S. 2000 Fine Pattern Fabrication below 100 nm with 70 kV Cell Projection Electron Beam Lithography, Jpn. J. Appl. Phys., 39 6854 6860
  9. 9. Moodera J. S. Kinder L. R. Wong T. M. Meservey R. 1995 Large Magnetoresistance at Room Temperature in Ferromagnetic Thin Film Tunnel Junctions, Phys. Rev. Lett., 74 3273 3276
  10. 10. Yano K. Ishii T. Hashimoto T. Kobayashi T. Murai F. Seki K. 1994 Room-temperature single-electron memory,IEEE Trans. Electron Devices, 41 1628-1638
  11. 11. Pain L. Icard B. Manakli S. Todeschini J. Minghetti B. Wang V. Henry D. 2006 Transitioning of direct e-beam write technology from research and development into production flow, Microelectronic Engineering, 83 749 753
  12. 12. Lin M. C. Aravind K. Wu C. S. Wu Y. P. Kuan C. H. Watson Kuo. Chen C. D. 2007 Cyclotron Localization in a sub-10nm Silicon Quantum Dot Single Electron Transistor, Applied Physics Letters, 90 032106
  13. 13. Bayer M. Stern O. Hawrylak P. Fafard S. Forchel A. 2000 Hidden symmetries in the energy levels of excitonic artificial atoms, Nature, 405 923 926
  14. 14. Mc Cord M. A. Rooks M. J. 1997 “Electron Beam Lithography,” in Handbook of Microlithography, Micromachining, and Microfabrication, 1 Ch. 2, ed. by P. Rai-Choudhury, 139 249 , SPIE Optical Engineering, Bellingham, WA
  15. 15. Noda S. Chutinan A. Imada M. 2000 Trapping and emission of photons by a single defect in a photonic bandgap structure,Nature,407 608 610
  16. 16. Hector S. 1998 Status and future of X-ray lithography, Microelectronic Engineering, 41 42, 25 30
  17. 17. Chang T. H. P. 1975 Proximity effect in electron-beam lithography, Journal of Vacuum Science Technology, 12 1271 1275
  18. 18. Yu-Tung Chen et al. 2008 Full-field hard x-ray microscopy below 30 nm: a challenging nanofabrication achievement, Nanotechnology, 19 395302 5pp)
  19. 19. Su Y. W. Wu C. S. Chen C. C. Chen C. D. 2003 Fabrication of Two-Dimensional Arrays of CdSe Pillars Using E-Beam Lithography and Electrochemical Deposition, Advanced Materials, 15 49-52
  20. 20. Chen Y. Macintyre D. Boyd E. Moran D. Thayne I. Thoms S. 2002 Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography, J. Vac. Sci. Technol. B, 20 2887
  21. 21. Lee Y. Gough R. A. Kunkel W. B. Leung K. N. Vujic J. Williams M. D. Wutte D. Zahir N. 1998 Multicusp sources for ion beam projection lithography, Rev. Sci. Instr. 69 877 879

Written By

Cen Shawn Wu, Yoshiyuki Makiuchi and ChiiDong Chen

Published: 01 February 2010