Open access peer-reviewed chapter

Ion-Beam-Induced Defects in CMOS Technology: Methods of Study

Written By

Yanina G. Fedorenko

Submitted: 24 October 2016 Reviewed: 07 February 2017 Published: 14 June 2017

DOI: 10.5772/67760

From the Edited Volume

Ion Implantation - Research and Application

Edited by Ishaq Ahmad

Chapter metrics overview

1,923 Chapter Downloads

View Full Metrics

Abstract

Ion implantation is a nonequilibrium doping technique, which introduces impurity atoms into a solid regardless of thermodynamic considerations. The formation of metastable alloys above the solubility limit, minimized contribution of lateral diffusion processes in device fabrication, and possibility to reach high concentrations of doping impurities can be considered as distinct advantages of ion implantation. Due to excellent controllability, uniformity, and the dose insensitive relative accuracy ion implantation has grown to be the principal doping technology used in the manufacturing of integrated circuits. Originally developed from particle accelerator technology, ion implanters operate in the energy range from tens eV to several MeV (corresponding to a few nms to several microns in depth range). Ion implantation introduces point defects in solids. Very minute concentrations of defects and impurities in semiconductors drastically alter their electrical and optical properties. This chapter presents methods of defect spectroscopy to study the defect origin and characterize the defect density of states in thin film and semiconductor interfaces. The methods considered are positron annihilation spectroscopy, electron spin resonance, and approaches for electrical characterization of semiconductor devices.

Keywords

  • ion beam implantation
  • defects
  • metal-oxide-semiconductor (MOS) devices
  • interfaces
  • diffusion

1. Introduction

Applications of ion implantation require an understanding of the lattice defects, which largely control the optical and electrical properties of semiconductors. Characterization techniques such as secondary ion mass spectrometry, spreading resistance, carrier and mobility profiling, Rutherford backscattering, ion channeling, and transmission electron microscopy with examples of using these techniques to investigate the dopant distribution in the implanted samples, characterize dopants that are electrically active, examine accumulation of the ion beam induced defects, and resolve their structure have been reviewed in the literature [1]. As the main feature of ion implantation is the formation of point defects in the energetic ion collisions, it is natural to present additional methods employed in semiconductor research to study atomic origin and electrical activity of technologically relevant imperfections. The prime attention will be given to characterization techniques invented in technological development of the Si/SiO2 system, though examples of other materials systems, which can be studied by application of positron annihilation spectroscopy, electron spin resonance spectroscopy, and (photo)electrical methods are provided.

Advertisement

2. Positron annihilation spectroscopy

Positron annihilation spectroscopy (PAS) is now a well-established tool to characterize electronic and defect properties of bulk solids, thin films, and surfaces. PAS allows studying the electronic structure of defects in solids. The imperfections are represented by small volume defects such as vacancies, vacancy clusters, and free volume defects. Positron beams can be applied to study defects in metals, semiconductors, composite materials, and thin film systems of different crystalline structure and chemical bonding. Methodologically, PAS mainly considers the three experimentally accessible dependences schematically indicated in Figure 1: (i) the time-dependent distribution of annihilating photons; (ii) the angular distribution of annihilating photons; and (iii) the Doppler broadening of the 0.511 MeV annihilation line. While the time-dependent distribution of photons bears information on the electron density in the vicinity of the annihilation event, the latter two photon characteristics provide information on the electron momentum distribution. The positron lifetime gives more integral information than the momentum measurements regarding the region from which the positron annihilates. In the case of a defect-containing sample, the average electron density at a defect site can be rather defect-specific. This suggests position lifetime measurements are suitable for investigating vacancy-clustering processes in rapidly quenched or (ion) irradiated materials. The momentum measurements can also yield detailed defect-specific information. The positron energy may vary allowing examination of the depth distribution of defects in solids and interfaces. Other direct experimental methods including transmission electron microscopy and atomic diffusion are less capable in detecting open volume defects located at interfaces and surfaces. The threshold defects concentration ensured by PAS is 1014 to 1015cm−3.

Figure 1.

Schematic representation of positron annihilation indicating the basis for the three experimental techniques of positron annihilation spectroscopy: lifetime, angular correlation, and Doppler broadening.

The physics of positron annihilation spectroscopy has been explained in textbooks [2, 3] and research articles [4, 5]. A positron injected into a solid becomes thermalized within a few picoseconds by ionizing collisions, plasmon and electron-hole excitations, and phonon interactions. If lattice defects are present in the material, the positron can be trapped by these imperfections. Lattice imperfections (vacancies, vacancy clusters, or dislocations), open volumes, nanoclusters, and the surface states can serve as potential wells, which effectively trap positrons. Within hundreds of picoseconds, a positron in a solid annihilates with an electron yielding two gamma rays. The distribution of the Δt values for a number of these events, measured in a PAS lifetime experiment, provides the total electron density in the region of positron-electron annihilation. The positron annihilation rate λ is the reciprocal of the positron lifetime and can be described by the overlap integral of the electron ρ(r) and positron ρ+(r) densities [4]:

λ=πr02cρ(r)ρ+(r)d3 r,E1

where r0 is the classical electron radius and c is the velocity of light.

Because energy and momentum are conserved in the annihilation process, the two gamma rays resulting from the electron-positron pair annihilation each have energy equal to the rest-mass energy of an electron or positron (mc2 = 511 keV) and ± an energy increment ΔE; the two gamma rays propagate in opposite directions with some deviation θ. Since the thermal energies of the positions are about kT, the values of ΔE and θ correspond only to the momenta of the annihilating crystal electrons. The similarity of information available from Doppler-broadening spectra P(ΔE) and angular-correlation curves N(θ) can be inferred by comparing the expressions for N(θ) and P(ΔE) in terms of the independent-particle-model (IPM) probability, R(p), that positron-electron annihilation yields 2γ-emission with total momentum p:

R(p)=πr02cknk|eipr Ψ+(r)Ψ(r)|2d3 r,E2

where Ψ+(r) and Ψ(r) are the positron and electron wave functions, respectively, nk  is the Fermi function, and k represents both the electron wave vector k and the band index. The expression for N(θ) and P(ΔE) is represented as N(θz)=ʃʃR(p)dpxdpy and P(ΔEx)=ʃʃR(p)dpydpz. The IPM approximation ignores the effects of positron-electron correlations in the solid assuming the particles act independently. The treatment of the electron-positron correlation, i.e., the enhancement of the electron density at a positron trapped by a defect site has been considered in Ref. [6]. The theory developed in this work considers the two-particle representation of an annihilating positron-electron pair. The IPM approximation is used to calculate the momentum distribution for each electron state. The individual contributions are weighted by the corresponding partial annihilation rates. The partial rates are calculated within the generalized gradient approximation. This approach was found useful when considering the momentum region where the uppermost core electron states dominate. The analysis of the momentum distribution curves up to rather large momenta becomes possible enabling identification of the chemical environment where the annihilation event has occurred. The one-dimensional momentum distribution of the annihilating electron-positron pairs can be extracted from the measurement of the Doppler broadening of the annihilation radiation. Generally, the positron-enhanced electron density can be accounted for if a constant, multiplicative factor (the enhancement factor) is used to take the many-body effects into account, although different enhancement factors must be used for valence and core electrons consistent with their degree of tight-binding.

A typical positron lifetime experiment has been described in work [7]. It can be performed by using a radioactive 22Na as a positron source. The positron source material can be deposited on a sample or sealed in foil, then placed between two identical samples under study. The decaying Na nuclei emit a high energy photon at 1.2745 MeV, which is used as a start signal for the positron lifetime measurement, while a stop signal is characterized by 511 keV photons. The photons serving as start and stop signals are detected by scintillating detectors coupled with photomultiplier tubes. Detectors are chosen to optimize scintillating efficiency and resolution. The use of digitization of the detector pulses significantly simplifies the postmeasurement signal analysis. The measured positron lifetime spectrum is exponential and reveals several features such as the background noise, the time resolution, and annihilations in the source. The background noise is determined by the source activity and arises due to rapid emissions of positrons that produce false coincidences. Further, the data analysis methods are also described in Ref. [7]. Except for the least-squares fitting of the positron life time spectrum, the inverse Laplace transform and the Bayesian-probability methods have been developed. The latter two methods do not require the number of lifetime components to be a priori fixed and can be used if continuous lifetime distributions are expected.

The surroundings of the vacancy defect can be studied with coincidence Doppler broadening spectroscopy measurements. Nonzero electron and positron momentum causes a Doppler shift of the annihilation photons. The Doppler shift is determined by the momentum of electrons since positrons in a solid are thermalized. Analysis of the Doppler broadening of annihilation radiation provides a sensitive method of defect characterization by extracting the momentum distribution of the electrons. It allows examining high-momentum core electrons. The principle of the method lies in the analysis of the positron annihilation line shape, which directly corresponds to the distribution of momentum of electron-positron pairs as shown in Figure 2. The momentum itself is measured from the amount of the Doppler shift of the emitted photons. In the coincidence Doppler broadening spectroscopy developed in works [8, 9] determination of energy of both γ rays is done simultaneously. Coincidence measurements of annihilation photons reduce the background signal by several orders of magnitude and allow observation of the high-momentum part of the spectrum, which stems from positrons annihilated by core electrons making possible identification of chemical elements surrounding a positron annihilation site.

Figure 2.

A typical annihilation line. After Ref. [144].

The discovery of slow positron emitters enabled analysis of solid surfaces [10, 11]. Slow positron beams are utilized for nondestructive depth profiling of defects in surfaces and interfaces, low energy positron diffraction, and positron remission microscopy studies on surfaces. A moderator single crystal metal film (Au, Cu, W, Ta) was used to produce slow positron beams [12]. The thermalized slow positrons are emitted from the metal surface spontaneously owing to the negative positron-surface affinity. Since slow positron beam generation is a surface process, it is sensitive to surface contaminations such as carbon, oxygen, and the surface defects [13, 14]. Energy loss mechanisms and the positron processes in condensed matter are described in Ref. [15]. Except being ejected from the surface, positrons can form a positronium (Ps) by capturing a surface electron. This bound state decays from either a singlet state, p-Ps (1S0) or a triplet state, o-Ps (3S1), each having unique annihilation characteristics [16]. Positrons can become trapped by the surface states or reflected back to the interior from the surface.

When a slow positron annihilates with a core electron, the released energy can be transferred to another electron, which can be ejected and detected out of the surface. Weiss et al. [17] were first to demonstrate that a low-energy positron creates core holes through matter-antimatter annihilation generating Auger electrons with high efficiency and extremely low secondary electron background. The latter is feasible to obtain by using incident beam energy below the secondary electron emission threshold. Positron-annihilation-induced Auger-electron spectroscopy (PAES) is advantageous due to increased surface selectivity in systems where the localization of the positron at the surface causes the excitation volume to be restricted almost to the top atomic layer. In addition, because calculated PAES intensities are very sensitive to the spatial extent of the positron wave function, PAES measurements provide an important test for models of the positron surface state. This technique has been proved to be a useful tool for determining surface composition, thin film and nanocrystal characterization, and surface diffusion of atoms.

Also, positrons can be used in diffraction experiments having the advantage that interaction with solids can be easier modeled due to the sign of the scattering potential (the scattering potential between the positron and the atomic nucleus is repulsive) and the total reflection, which is only present in the positron diffraction [18, 19]. The interaction of an energetic positron with the solid may differ from that of electrons of the same energy. The differences can be associated with the relative differential and total elastic cross sections and also with the different energy loss processes for the two particles in a solid. At low energy, the inelastic mean-free path of a positron is shorter than that of an electron leading to an increased surface sensitivity of positrons. This is especially useful in examining the features of reconstructed surfaces, adsorbates, single adsorbed layers and their spacing to the substrate as well as layers with a nominal thickness in the submonolayer range. The positron scattering cross sections are marginally dependent on the specific element enabling analysis of compounds comprising unlike atoms.

High energy diffraction of positrons generates two-dimensional (2D) pattern similar to electrons, although there are several differences due to differences in the ion-core interaction and crystal potential between positrons and electrons. Kikuchi lines stemming from multiple-scattering of electrons are not observed in diffraction of high-energy positrons. The most notable feature is in the total reflection of positrons at surfaces. The positron diffraction near the critical angle is especially sensitive to the topmost atomic surface layer whereas at the critical angle for total reflection in X-ray diffraction, which is usually less than 0.2° the penetration depth of the photons into the solid still amounts to a few nanometers. Surface sensitivity of positron techniques is especially suited to near-surface measurements, which are particularly relevant to ion beam modified devices.

The technique of positron annihilation spectroscopy in conjunction with a slow positron beam has been proposed for the monitoring of ion implantation dose and uniformity [20]. Positron dosimetry can nondestructively measure doses of implanted ions with significantly higher sensitivity than that available using other techniques. The principle of the technique is that implanted thermalized positrons diffusively move in the material and become trapped by the open-volume vacancy-type defects created by ion implantation. The positron annihilation in vacancy-type defects contributes less to the Doppler broadening of the energy spectrum of annihilation γ rays compared to that in the defect-free bulk material. Doppler broadening parameter S is defined as the ratio of the number of counts in the central part of 511 keV gamma line to the total number of counts under the peak. A single parameter S describing the linewidth of the annihilation gamma ray line at 511 keV is related to the defect concentration. The concentration C of open-volume defects is related to the number ϕ of ions implanted as Cϕ0.7. The defect depth profiling using positron beams has found applications in materials research to study ion beam damage in both inorganic [2123] and organic materials [24]. In the latter case, positron beam studies are of particular importance since application of X-ray or electron beams to organic materials may appear invasive [25].

Though modern MOS device technology may rely on ion-implantation free approaches [26, 27], applications of ion implantation are expanding over areas of quantum information processing [28, 29] and photovoltaics [30, 31]. Plasma immersion ion implantation enables fabrication of 3D transistor architectures [32, 33] required for scaling of metal-oxide-semiconductor field-effect transistors (MOSFETs) and is technologically more convenient for the fabrication of shallow pn-junctions. The ion implantation doping and the problems associated with the formation of point defects in the ion collision processes have been reviewed in work [34] highlighting the differences in the defect generation and accumulation in Si and Ge upon ion implantation. The dopant behavior in Ge is dominated by vacancies, while both vacancies and self-interstitials are active in Si. PAS has been applied to study point defects in interfaces between high-k dielectrics and metal [35] and Si [36]. The open volume defects were found to be located at both TiN/SiO2 and Si/SiO2 interfaces [37]. Annealing studies of defects indicated that while the defects in the Si/SiO2 interface could be annealed out, the TiN/SiO2 interface revealed an enhanced defect density due to the formation of the interfacial titanium oxynitride. Open volume defects introduced in SiNx films [38] and SiGe/Si interfaces [39] by plasma processing have been also revealed by PAS.

Advertisement

3. Electron spin resonance spectroscopy

Being integral to CMOS technology, ion implantation finds its applications at the forefront of materials science for fabrication of quasi-2D materials [40, 41], exploration of electron and nuclear spins of donor atoms in silicon as qubits for quantum information processing [42], and fabrication of light-emitting diodes [43]. Pertaining to MOS device fabrication, ion implantation is known to result in generation of electron and hole-trapping centers, which are detrimental to the device performance [44]. Such trapping centers may reside in a gate oxide and its interfaces with a semiconductor and a gate electrode. In amorphous SiO2, ion implantation induces densification and the amorphous network reconstruction, not fully consistent with the assumption of plastic deformation. Ion implantation forces SiO2 to freeze in a nonequilibrium phase tolerating a substantial reduction in the mean Si−O−Si angle and a subsequent change in the ring distribution statistics. As such, the radiation response of SiO2 is dependent on the intrinsic structure of the material and the incorporated strain. Possible structural modifications in amorphous SiO2 resulting in irradiation-induced charge have been reviewed in Ref. [45]. When paramagnetic, electrically active defects can be studied by using electron spin resonance (ESR) since the method is restricted to systems with a residual electron spin. For example, molecular solids with singlet ground states are not observable by ESR. This selectivity appears as useful in research on the electronic states of conducting materials, point defects in thin films, interfaces, and nanocrystals [4650]. For the subject of ESR describing the fundamental theory and also the primary applications of the technique one can refer to the textbooks [51, 52]. The potential of the method in application to interfaces and nanolayers is detailed in Ref. [53].

The actual quantity detected in the ESR experiment is the net magnetic moment per unit volume, the macroscopic magnetization M. The microwave absorption spectrum is described by the spin Hamiltonian consisting of two components. A spin Hamiltonian contains operators for an effective electronic spin and for nuclear spins, the external magnetic field, and parameters. Its eigenfunctions determine the allowed energy levels of the system for an ESR experiment. The characteristics of paramagnetic species are the g-value, the spin-lattice relaxation time, and the line width. The g-value is the magnitude of the electron Zeeman factor for the paramagnetic species considered. The g-value can be determined as E=gμBB, where E is the energy of microwave, μB is Bohr magneton, and B is magnetic field. In the case of free electrons, the g-value becomes 2.0023. For a paramagnetic defect, the g-value is different due to the effect of local magnetic field induced by movement of electrons in their orbits. The structure of the orbits contributes to the g-values via the effect of spin-orbit coupling, which is anisotropic and depends on axis determined by the magnetic field.

The spin-lattice relaxation time characterizes interactions of a spin system with its environment and reflects the strength of the interaction between the spin system and its surroundings. The magnetic environment of an unpaired electron can give rise to the ESR line broadening. The spectral lines are broadened either homogeneously or inhomogeneously. Homogeneous line broadening can be fitted by a single Lorentzian line and indicates that all the spins are described by the same spin Hamiltonian parameters. The line width of homogeneously broadened lines depends on the relaxation time of the spins. In the case of inhomogeneous broadening, the observed signal becomes a superposition of a large ensemble of individual spin packets, which are of slightly different g-values from each other. The inhomogeneous broadening of the spectral line can be caused, for example, by anisotropy of the g-tensor or the unresolved hyperfine structure. The latter may occur when the number of hyperfine components located near nuclei is so large that the hyperfine structure cannot be clearly observed. The large line width can be also observed due to dipole-dipole interactions between the defects spins [54].

As a starting point in defect identification, it is instructive to give an overview of intrinsic and extrinsic point defects of the Si/SiO2 system as the most comprehensively studied system in CMOS technology. Being oxidized, silicon forms network-lattice-induced dangling bond defects at the Si/SiO2 plane. The structure of the Pb defects is dependent on the crystalline orientation of Si. The (111)Si/SiO2 interface can be characterized by dangling bond defects of only one type—Рb centers. This is a sp3 silicon-dangling bond directed along the [111]. The defect is of C3v symmetry and can exist in four orientations in the silicon lattice [55, 56]. Thermally oxidized silicon contains the Pb density of approximately 4.9⋅1012 см−2. In contrast to the (111)Si/SiO2 interface, the (100)Si/SiO2 interface is characterized by two ESR active defects, Pb0 and Pb1 as shown in Figure 3. When oxidation of silicon is implemented at 800–970°С, the defect density of both defect types is similar (1012 см−2). The Pb1 defect is also a Si-dangling bond located slightly under the interface plane. Unlike Pb0, it is of monoclinic-I point symmetry [48].

Figure 3.

Schematic representation of Pb0 и Pb1 defects at the (100)Si/SiO2 interface. After Ref. [145].

The dangling bond silicon defects, the Pb centers, are often employed as sensitive probes to detect interfacial stress during the Si/SiO2 interface formation. When Si is subjected to oxidation at Т > 900°C, structural relaxations occur at the Si/SiO2, and the density of Pb-centers decreases. At this point, two stages of the silicon oxidation process can be distinguished. Suboxide bonding at the Si/SiO2 interface is diminishing when silicon is oxidizing at 850°C<Т< 900°C. Increasing oxidation temperature to 1050°C reduces strain at the macroscale [57]. Spatial uniformity of the dangling bond defects is determined by the temperature conditions during silicon oxidation. ESR studies of Pb defects can be used to determine deformations at the interface from dependence of the ESR line width as a function of magnetic field angle [58, 59].

Pb0 and Pb1 defects in (100)Si/SiO2 as well as Pb defects in (111)Si/SiO2 can be passivated in molecular hydrogen [49]. Upon ion implantation or ionizing irradiation, the interface trap generation may occur. A part of the interface states appears to be due to depassivated dangling bond defects. The mechanism of the depassivation reactions has been considered within the “hydrogen model”, which assumes defect precursors in SiO2 to create mobile protons interacting with HPb and generating Pb centers. The interface trap generation coincides with the positive charge built-up in the oxide. The model proposes that protons are introduced in SiO2 as a product of reactions of atomic hydrogen with the hole carriers trapped in the oxide; both the atomic hydrogen and the trapped holes are produced by irradiation. It has been concluded that the positive charge trapped in the oxide is present in the form of small polarons (self-trapped holes) in amorphous SiO2 [60]. Though in bulk vitreous SiO2 intrinsic hole-trap centers have been found to be stable at relatively low temperatures, thin films of insulating gate dielectrics in modern MOS devices are formed by low-temperature depositions on semiconductors and could incorporate interfacial strain sufficient to support self-trapped carriers at higher temperatures. The polaronic nature of the oxide-trapped charge in amorphous SiO2 is consistent with the recent theoretical consideration of hole and electron trapping in hafnia. The deep states of electron and hole polarons have been predicted to exist in HfO2 with precursor sites being elongated Hf−O bonds or under-coordinated Hf and O atoms [61]. This indicates that: (i) similar mechanisms of the defect generation under irradiation or ion beam damage could be operative in MOS devices containing HfO2 and other amorphous oxides. (ii) Dangling bond defects in oxides may not be required for the charge trapping to occur.

Of the dangling bond defects in SiO2, there are point defects associated with a dangling bond localized either on silicon or oxygen. The EX center belongs to the oxygen-related defects in SiO2. The EX defect is the intrinsic network-stabilized defect in SiO2. It is formed in the upper part of the oxide when the oxidation temperature Тox = 700–800°C. Being most prominent in thin oxides, EX is linked to the specific way thermal oxide is grown, i.e., oxidation of c-Si. As a working model, EX can be represented as an excess-O hole defect where an electron is delocalized over the four oxygen atoms bordering a Si vacancy [62], Figure 4. There are also a nonbridging oxygen hole center (O3≡Si−O⋅) [63] and a peroxide-radical (Si−O−O⋅) [64], which are not naturally present in SiO2 and introduced as damage defects in a postoxidation stage by irradiation with some energetic species (e.g., γ and x photons, electrons, ions).

Figure 4.

Schematic representation of the EX center.

The E′ defect is also an extrinsic defect present in crystalline and amorphous SiO2. The E′ defects in SiO2 have an unpaired electron localized at a hybrid sp3 orbital of silicon, which is bonded to three oxygen atoms (О3≡Si⋅) [65]. Several schematic models of the E′ centers are depicted in Figure 5. The model representation of Е' as the bridged hole-trapping oxygen-deficiency center has not been experientially verified [66]. The model considers a paramagnetic silicon atom connected via oxygen with another silicon atom, which is the trapping center for positive charge carriers, Figure 5(b). Generation of E’ defects may depend on hydrogen content in a-SiO2, since dissociation energy of a strained Si−O bond by hydrogen is rather low and amounts to 0.5–1.3 еВ [67]. The defect generation in interfaces and thin films by ionizing radiation or hot electron injection is sensitive to the initial content of the strain bonds in MOS devices [68]. Therefore, ESR studies could be employed to reveal the impact of the interfacial strain on the defect generation.

Figure 5.

The first model of E´γ center (а), the model of the bridged hole-trapping oxygen-deficiency center (b), and the E´σ center model (c).

Since electronic devices explore charge carries in their operation, it appeared natural to establish interrelationship between the silicon-dangling bond defects and the electron states at the semiconductor/insulator (SI) interfaces. For the Si/SiO2 interfaces, it is known that technology chosen for silicon oxidation is crucial for attaining low density of the interface state (Dit), which is directly linked to the density of silicon-dangling bonds at the Si/SiO2 interface. The decrease in Dit and the Pb density was observed when steam oxidation was used to grow SiO2. Also, the higher Dit values are expected at the more closely packed (111)Si surface as compared to the (100)Si one. A direct correlation between the Pb density and the free carrier concentration in the field-effect transistor channel was reported in work [69]. Further studies of electrical activity of the Si/SiO2 defects were undertaken by using various methodologies: capacitance-voltage (CV) measurements [70], deep-level transient spectroscopy [71], and the photoionization threshold method [72]. It was firmly established that Pb0 defects at the (100)Si/SiO2 interface form amphoteric surface states at 0.3 and 0.8 eV above the silicon valence band edge [73]. In respect to the Pb1 centers at the (100)Si/SiO2, the Pb0 и Pb1 defect densities inferred from ESR studies were compared with the interface trap densities determined from CV measurements. It was concluded that Pb1 does not form electrically active states within the silicon band gap [74]. Concerning the E´ center in thermal oxide, it is neutral when paramagnetic and strongly interacts with hydrogen [75]. The model for the center in this case is the H-terminated center denoted as O3≡Si–H. It has been supposed that the center constitutes the hole trap and releases hydrogen in the form of a proton upon hole-trapping. The released proton can be trapped by the oxide network and form a donor-like surface state. When hydrogen is available in gate oxides as it can be upon an irradiation process, the neutral center may be again passivated serving as a hole-trapping site.

Charge trapping in gate oxides is one of the major obstacles in integration of high-k gate dielectrics in CMOS technology. Among the issues is the enhanced migration of dopant impurities originating from ion implantation steps. As such, ESR studies are indispensable to unravel point defects, which may appear detrimental for MOSFET performance. For example, ESR studies of phosphorous implanted high-k dielectrics reveal that P incorporating in the metal oxide network forms point defects by substituting for Hf or Zr in HfO2 or ZrO2, respectively [76]. Such defects formed due to enhanced migration of dopant impurities during dopant activation thermal steps may potentially trap charge.ESR studies have been applied to diverse ion-implanted systems. In SiO2, a substantial reduction in S and E′γ centers (Si enrichment in the oxide) was found when in situ ultrasound treatment was applied during implantation of Si+ ions into thermal SiO2 on (100)Si [77]; ESR found a radical mechanism of degradation of the ion-implanted photoresist [78]. Applications of the ESR techniques to study ion-beam-induced implantation damage in carbon-based materials have been described in Ref. [79].

ESR techniques have been explored in studies of spintronic materials fabricated by ion implantation. To probe the spin relaxation, the technique of choice is the pulse-electron spin resonance spectroscopy. ESR studies have been undertaken to measure spin relaxation times of dopants in Si. Shallow donors in Si are known for their long relaxation time suggesting a possible application of spins as qubits. The transverse relaxation time measured for isolated spins is associated with the decoherence time. ESR studies have been used to determine spin relaxation times in Sb-implanted isotopically enriched 28Si [80]. It has been shown that annealing of ultralow dose antimony implants leads to high degrees of electrical dopant activation with minimal diffusion. Spin relaxation times were increased when paramagnetic defects at the Si/SiO2 interface were passivated by hydrogen. Except for the Si/SiO2 system, pulsed ESR experiments have been used to characterize the coherent spin dynamics of nanofabricated nitrogen vacancy centers in nitrogen implanted high-purity diamond [81].

Advertisement

4. Electrical characterization of semiconductor interfaces: semiconductor doping, interfacial and oxide charges

4.1. Steady-state capacitance

An overview of charge carrier profiling, steady-state and transient capacitance, deep-level transient spectroscopy methods can be found in Ref. [82]. CV methods are most frequently used to extract parameters critical for operation of semiconductor devices. The interface trap densities, the fixed oxide charge, the carrier concentration in a semiconductor, and the permittivity of an insulator can be obtained from CV measurements. Here, more emphasis is given to basic limitations of CV methods, possible errors, and examples of using CV techniques.

The measure of charge responses in MOS devices as a function of electric field is the differential capacitance. To account for the interface trap effects, the Berglund method that establishes the relation between applied voltage across the MOS structure and the band-bending in equilibrium can be used [83]. Figure 6 exemplifies the energy distributions of the interface states at the (100)Si/SiO2 and (100)Si/HfO2 interfaces in panels (a) and (b), respectively. The Dit distributions in panel (a) are obtained using the Berglund procedure. The results of Dit distributions extracted from a low-frequency CV curve and ac admittance data are compared in panel (b) indicating a perfect match in the energy range where the fast interface states contribute to the emission of charge carriers. The Si/SiO2 interface trap distributions derived from the 100 Hz CV curves reveal two peaks centered at 0.25 and 0.85 eV above the Si valence-band edge, Figure 6(a). The peaks are superimposed on the U-shaped background corresponding to a continuous distribution of the surface states in energy and ascribed to the existence of weak Si−Si and Si−O bonds at the Si/SiO2interface [84]. The observed peak energy positions correspond to the (+/0) and (0/−) transitions of the amphoteric Pb0 defect. No measurable contribution of the Pb1 center to the Dit could be detected in the central part of the Si bandgap, in agreement with the previous studies [74], which compare the total interface trap density Nit and the Pb0 and Pb1 densities inferred from the ESR data. The estimation of the total interface trap density Nit in work [74] was done according to Gray and Brown as described in work [85]. This procedure is advantageous over the low-frequency Berglund method in the following: (i) It allows detection of the interface states close (20 meV) to the Si band gap edges, inaccessible for room-temperature CV analysis. (ii) It is decoupled from the uncertainty of Si surface potential determination near the band edges when the interface trap density is high.

Figure 6.

The interface trap distributions inferred from the capacitance-voltage measurements following the Berglund method and compared with these determined from ac conductance data as denoted by (•) symbols in panel (b).

A strong capacitance dispersion and dc leakage current may hamper application of CV methods based on low-high frequency CV measurements. As such, the Terman procedure based on comparison of the calculated ideal and experimental high-frequency CV curves may have limited applications in determining the interface trap densities in the case of interfaces of high-k dielectrics with semiconductors. Also, the interface trap contribution to the CV curve shift in voltage due to the interface traps becomes less prominent when equivalent electrical thickness of an insulator decreases [86, 87].

CV techniques can be used to extract the charge carrier profile in a semiconductor, the important characteristic of ion-implanted devices. The dopant profile is obtained from the high-frequency CV curve to minimize possible uncertainties stemming from the interface trap charge contribution to the depletion layer capacitance. The principle behind the dopant profiling is that as the semiconductor becomes depleted by the majority carriers, the capacitance decreases. A rapid decrease of the capacitance indicates a low dopant concentration, whereas a slow reduction indicates a high doping level. The capacitance as a function of voltage is related to the majority carrier density and can be obtained from the slope of the Mott-Schottky curve [88].

A variant of CV carrier profiling, which employs an electrochemical contact to a semiconductor, is an electrochemical capacitance-voltage (ECV) technique. ECV may appear as advantageous compared to the conventional CV methods due to its capability to measure spatial-ionized impurity distribution to practically unlimited depth, not being hindered by the breakdown at a high doping level [89]. ECV profiling can be applied to materials, which cannot be studied by the Hall measurements, for example, to conductive ferromagnetic semiconductors [90]. Despite its utility, ECV applicability is limited by the sample thickness when it is comparable with the Debye length, or, if a sample consists of several thin layers, which are either of different chemical composition or doping. The charge transfer at the interface is an important difference between a semiconductor/electrolyte (SE) interface and a Schottky contact. In the former case, it is supported by an electrochemical process. Parameters of the SE interface are determined by the electronic structure of the interface. The potential distribution in the SE interface and the effects of the semiconductor surface states on the potential redistribution between the semiconductor and the Helmholtz layer have been considered in review articles [91, 92]. When the surface states are not present at the semiconductor electrode the reverse bias drops across the semiconductor space charge region. It is than possible to determine the carrier concentration in the semiconductor. Except for the charge trapped in the surface states, there can be other charges, which result in the flat band voltage shift (Vfb) and modify the capacitive-frequency responses. An interfacial electric dipole layer can also result in a Vfb shift when the latter coincides with the change in electron affinity indicating that the dipoles attached to the semiconductor surface contribute to the Vfb shift, not surface charges.

Analysis of CMOS devices with nanometer thin insulators requires taking into account quantum-mechanical effects in the accumulation capacitance [93, 94] and the inversion capacitance [95] in order to extract the equivalent oxide thickness or the semiconductor doping density, respectively. The doping density can be extracted from the inversion layer capacitance by relating the depletion layer width WD and the carrier concentration NA,D

WD=4εs kTln(NA,D/ni)q(NA,D),E3

where ni is the intrinsic concentration in a semiconductor at a given temperature T and q is the elemental charge [96].

Alternatively, the doping density can be known from the band bending at the onset of strong inversion Ψs inv2kTqln(NA,Dni).  The surface potential is obtained by using the Berglund integral. For the scaled MOS devices, one has to take into account the contribution of the finite density of states and the finite inversion layer thickness to the inversion layer capacitance or utilize the capacitance in the weak inversion to extract the substrate doping (cf. Figure 2 in Ref. [95]). The inference of the semiconductor substrate doping from the inversion capacitance may appear to be superior over other experimental approaches, because it is decoupled from the possible contribution of the interface states to the depletion layer capacitance. This technique has been applied to trace boron concentration in silicon as a probe for the presence of radiolytic hydrogen in SiO2 when analyzing the impact of vacuum ultraviolet irradiation and ion implantation of fluorine and argon on charge built-up in Si/SiO2 MOS systems [97, 98]. Local characteristics of dopants can be obtained on semiconductor devices by using the scanning capacitance microscopy, a technique based on local capacitance-voltage analysis with submicron spatial resolution [99].

4.2. Steady-state ac conductance

The dynamic electrical responses of junction space-charge layers can be probed by using ac admittance spectroscopy or transient spectroscopy methods. These methods are applicable to both the deep bulk trap [100, 101] and interface trap [102, 103] studies in MOS devices. The ac admittance method is a classical approach to characterize the interface states in MOS structures [104]. The method better accentuates fast interface states, which are spatially located at the SI interface plane. The method considers the imaginary part of the measured admittance, which is directly linked to the charge trapped and emitted from the interface states as a consequence of the applied ac electric field. The localized states exchanging charge with the majority carrier band of a semiconductor respond to ac signal with both the capacitive and conductive components. At a particular frequency ω which is ωτ = 1, where τ is the characteristic time constant for the charge exchange with the localized state. The ratio Gp reaches a maximum value directly proportional to the density of the surfaces states Dit. The trap occupancy is modulated by the semiconductor surface potential Ψs. The capture cross sections p,n and the trap densities Nt(p,n) can be inferred from the frequency dependences of conductance exemplified in Figure 7. The interface trap resonances can be analyzed by using different models. Initially, it was suggested that there exists a quasicontinuous distribution of the interface states localized at the SI interface and that the surface charge and potential are uniform all over the interface. The broadening of the experimental normalized conductance curves was explained by Nicollian and Goetzberger as related to a random oxide charge and charge of the interface states distributed in the interface plane [105]. The tunnel recharging of the traps has been considered in Ref. [106]. To account for asymmetric conductance peaks, another model suggested that the interface traps at a particular energy have a range of cross sections spanning over orders of magnitude [107].

Figure 7.

Equivalent parallel conductance as a function of frequency. The points are experimental values taken on a MOS-capacitor at different surface potentials ψs. The silicon substrate is of p-type conductivity.

In nanoscale CMOS devices, the excessive leakage current impacts characterization of the interface traps by application of ac admittance spectroscopy. It has been demonstrated that errors in series resistance are critical when Dit values are determined at the accumulation band bending, while high tunnel currents hamper characterization of the midgap interface states [108]. The practical solution of the problem associated with the interface trap characterization in tunnel MOS-devices is the use of the charge pumping method [109, 110]. When the leakage current does not impede the interface trap analysis, the interface states in the (100)Si/SiO2 and (100)Si/HfO2 entities can be reliably inferred from the capacitance frequency dispersion [111, 112] or ac admittance spectroscopy combined with the CV methods [113]. In the latter work, it has been observed that the Dit density measured on Hf-containing samples subjected to a high-temperature anneal in oxygen and a subsequent passivation in hydrogen is still higher than that inferred for the equally treated (100)Si/SiO2 interface. After passivation in molecular hydrogen, both the HfO2 and SiO2 interfaces with Si exhibited the Dit peak positioned at 0.4 eV above the silicon valence band top. When Pb0 centers are passivated by molecular hydrogen the ac conductance responses are dominated by the contribution of the slow states, which are usually ascribed to the oxide-related imperfections. The slow states giving rise to the feature observed at 0.4 eV are likely to originate from the near interfacial oxide interlayer and could exist due to a lattice distortion in strained interfaces.

4.3. Transient capacitance

Transient-capacitance spectroscopy has been initially developed to study deep bulk trap levels in semiconductors and termed by Lang as deep-level transient spectroscopy (DLTS). The capacitance DLTS is a preferred variant of the transient measurements, because it allows to separate minority and majority carrier emissions [114]. The technique is based on recording fast capacitance transients and passing the transient signal through a rate window circuit using a boxcar integrator and predefining the width of the gate pulse, the integrator response time, and the rate-window time constant. A lock-in amplifier used instead of a boxcar integrator requires settings for the rate-window, the initial gate-off period and the phase. When the traps are continuously distributed in energy (such as the interface traps) the measurement yields an emission time-constant spectrum, which depends on both the trap distribution and capture cross sections. A conventional DLTS procedure uses biases in depletion and pulsed voltage to populate interface traps with majority carriers. The responses of the device capacitance are recorded as the interface trap occupancy tends to equilibrium distribution. The energy of the traps can be determined independently of the emission rate by using two charging pulses of slightly different amplitude to selectively populate the interface traps [115]. A new method to determine capture cross sections independently of temperature and energy has been proposed in the work [116]. The method exploits the use of small trap-filling pulses to narrow the energy range within which the surface states become populated with majority carriers. Schematic diagrams representing (a) energy bands at the SI interface and (b) the pulsing sequence are shown in Figure 8.

Figure 8.

Schematic diagrams representing (a) energy bands at the SI interface and (b) the capacitance and the surface potential at the SI interface.

When a voltage pulse sequence ΔV is superimposed on a constant voltage biasing a MOS structure to the surface depletion by the majority carriers, the capacitance difference recorded between times t1 and t2 is expressed as

ΔC=AEvEcNs(E)[et1τnet2τn][f0(E)f1(E)]dE,E4

where NS(E) is the surface state density at energy E, τn is the emission time constant for electrons when considering n-type semiconductor. A constant A= С03/εsCoxND, where C0 is a capacitance at reverse bias, εs is the Si permittivity, and Cox is the insulator capacitance, ND is the substrate doping. The integration limits span from the valence band edge Ev to the conductance band edge Ec, and Ef is the Fermi level.The electron occupation of the surface states at the surface potential values Ψs and ΨsΔE/q is described by the Fermi functions fo(E) and f1(E). As the pulse amplitude is small, the occupancy of the surface states can be approximated by the δ function, and Eq. (4) can be written as the capacitance of a discrete level.

ΔC=ANs(Et)[e(t1τn(Et))e(t2τn(Et))]E5

For a discrete level, DLTS spectrum peaks at

τn=t2t1ln(t2t1)E6

The emission time constant is expressed as

τn=[vth·Ncσne(ΔEt/kT)]1,E7

where vth is the thermal velocity of electrons, Nc= NDe(qVf/kT) is the effective density of states in the conduction band, σs is the capture cross section for electrons, and ΔEt is the activation energy.

Assuming a capture cross section is exponentially dependent on energy

σn=σ0eΔEσ/kT,E8

with σ0 and ΔEσ being the preexponential factor and the activation energy, respectively, a set of the capture cross sections at different energies can be expressed as

σn(Et, T)=σ0(Et)e(ΔEσ(Et)/kT).E9

The apparent activation energy and the energy-dependent term σ0(Et) can be determined from the Arrhenius plot. Repeating the DLTS measurements at different gate voltages (i.e., different surface potentials), one obtains σ0(Et). The surface potential values can be determined from CV curves. The doping density and the oxide capacitance are estimated from the CV curves under the inversion and the accumulation, respectively.

In DLTS measurements, the bias dependence of the peak is a distinct signature of the charge carrier emission from the interface states [117]. Being characterized by DLTS and CV measurements, the oxide charge, the interface state densities, and capture cross sections in the energy gap can be utilized to obtain surface recombination velocities [118]. Applying DLTS pulses of opposite polarity (from accumulation to inversion) allows estimating the thermal generation times of bulk and surface centers [119]. DLTS techniques are capable in determining the trap properties in terms of relaxation mechanism and the defect profiling, the information valuable to study defects introduced by ion beams and ionizing radiation [100]. Naturally, characterization approaches are purpose-specific and can be based on several experimental techniques to identify a particular defect or study its energetics and kinetics. For example, commonly used techniques for studying the electrical- and optical characteristics of point defects such as DLTS and photoluminescence are sensitive to the defect states within the bandgap but have to be complemented by ESR studies to obtain information on the atomic structure of a defect or a defect complex.

4.4. Photoinjection

The methods based on photoinjection of charge carriers in metal-semiconductor barrier structures are sensitive to local nonuniformities in semiconductor interfaces because charge in a semiconductor induces an equal charge in the electrodes giving rise to electric fields at the interfaces, with a consequent field-effect modulation of the barrier heights (for the all-encompassing review on the subject of internal photoemission spectroscopy (IPE) methods one can refer to the book [120]). The early application of scanning internal photoemission to map sodium contamination at the Si/SiO2 interface has been reported in work [121]. The IPE and trap photodepopulation methods were applied to reveal electron traps in Na+ and Al+ implanted SiO2 [122]. At present, this technique has been revived to study ion beam induced charge nonuniformities in GaN and SiC [123].

Experimentally, the charge injected into an oxide, i.e., the current over the time of injection should remain unchanged by the method used for the charge detection. The trapped charge density is determined sensing the electric field created by the trapped charge. The electric field created by the charge of trapped carriers can be also observed in variations of the surface band bending of a semiconductor, i.e., a semiconductor space-charge layer serves as the field-sensing element. The band bending as a function of electric field can be extracted from capacitance-voltage measurements and the additional contribution of trapped charge to the field can be determined as a voltage shift of a CV curve. In MOSFETs, the trapped charge can be monitored as a function of the threshold voltage. This technique senses the charge carrier density in the inversion channel to monitor the electric field at the SI interface. Alternatively, the electric field induced by the trapped charge can be monitored by the Kelvin probe or photovoltage. In the latter case, the light intensity should be sufficient to set the flat band conditions at the semiconductor surface.

The experimental studies of the trapped charge in ion-implanted insulators are numerous with several examples represented in Refs. [124131]. The interfacial defect densities modified by ion implantation have been studied combining IPE and ac conductance spectroscopy methods on nitrogen implanted SiC/SiO2interfaces [132]. IPE reveals that nitrogen incorporates in carbon clusters at the SiC/SiO2 interface that causes a shift of the electron levels to higher binding energies. Inferring the Schottky barrier height from the IPE spectra, it has been shown that ion implantation of sulfur in the NiSi/Si barrier does not induce changes in the barrier height, but increases doping in silicon [133]. The silicide/Si barrier modification by intentional dopant segregation has been verified in work [134].

4.5. Slow interface states as a special case of study

Defects generated by ionizing radiation and/or electric field, as well as the defects in undamaged devices, are considered to be spatially distributed across the SI interface and can be classified accordingly to the spatial location as the oxide-related traps and the interface traps. In respect to the latter, it is generally accepted that the interface traps are rapidly communicating with the silicon conduction or valence bands. The defects within the oxide interlayer also can exchange charge with silicon as has been revealed by the noise measurements [135]. Combining ac admittance spectroscopy and the noise measurements, it has been established that the fast interface states at the Si/SiO2 interface, likely associated with the dangling bond defects, contribute to the loss peak in conductance measurements [136]. The defect states residing in an oxide layer are responsible for 1/f noise and random telegraph noise. These trapping centers in the oxide contribute to the conductance plateau at low frequency in ac conductance spectra (cf. Figure 2 of Ref. [137]). A separable contribution of the oxide-related traps has been revealed employing measurements of subthreshold current [138] and the charge-pumping technique [139] to MOSFETs and CV measurements taken on the gate-controlled diode [140]. The latter technique is applicable for characterization of the interface traps in MOS devices composed on wide band gap semiconductors, because it allows supplying minority carriers in an amount sufficient to compensate for the low thermal generation rates of the minority carriers. An alternative method of providing minority carriers to invert a semiconductor surface is a controlled deposition of surface charges onto an insulator surface from corona discharging in air as it has been proposed in Ref. [141]. In this work, a surface charge has been deposited on SiO2 and high-k dielectrics to overcompensate the carrier leakage current in silicon MOS capacitors and enable extraction of Dit(E) profiles following the Berglund formalism. There are several advantages of the inverting semiconductor surfaces by employing noninvasive electrostatic charging of an insulator surface in a MOS structure: (i) The method does not involve fabrication of a transistor or a gate-controlled diode. (ii) The Berglund analysis can be used to reliably estimate Dit(E) over the major part of a semiconductor band gap (for Si, from 0.2 to 0.9 eV above the valence band edge) using just MOS capacitors of one type of semiconductor conductivity. (iii) The method may employ CV measurements at mid-kHz frequency range allowing investigation of samples, which experience relatively high leakage current.

The sub-division of the interface trap responses into slow and fast on the basis of their characteristic time constants is important in research on the irradiation-induced damage in MOS devices. The interface state generation under irradiation or high electric field stress can involve electron-hole recombination in a gate insulator as proposed by Lai [142], the hole trapping according to the ”hydrogen model” by Griscom [60], or generation of dangling bond defects in the oxide. Experimentally, it has been shown that both the fast and slow interface states can be generated upon oxide damage by high electric field or irradiation [143]. The mechanisms operative in the interface trap built-up upon irradiation or electric field stress are governed by hydrogen impurity, interfacial strain preexisting in thin insulating films on semiconductors, and experimental conditions used to impose damage on MOS devices.

References

  1. 1. E. Rimini. Ion Implantation: Basics to Device Fabrication. Boston: Kluwer Academic Publishers; 1995. 393 p. DOI: 10.1007/978-1-4615-2259-1
  2. 2. A. Dupasquier, A. P. Mills, editors. Positron Spectroscopy of Solids. Amsterdam: IOS; 1995. 805 p. DOI: 978-1-61499-211-0
  3. 3. R. Krause-Rehberg, H. S. Leipner. Positron Annihilation in Semiconductors. Defect Studies. Berlin: Springer; 1999. XV, 383 p. DOI: 978-3-540-64371-5
  4. 4. R. W. Siegell 1980. Positron annihilation spectroscopy. Annu. Rev. Sci. 1980;10:393–425. DOI: 10.1146/annurev.ms.10.080180.002141
  5. 5. B. N. Ganguly. Positron annihilation spectroscopy: a prelude to modern aspects. Defect Diffus Forum. 2012; 331:7–21. DOI: 10.4028/www.scientific.net/DDF.331.7
  6. 6. M. Alatalo, B. Barbiellini, M. Hakala, H. Kauppinen, T. Korhonen, M. J. Puska, K. Saarinen, P. Hautojärvi, R. M. Nieminen. Theoretical and experimental study of positron annihilation with core electrons in solids. Phys. Rev. B. 1996;54:2397. DOI: 10.1103/PhysRevB.54.2397
  7. 7. F. Tuomisto, I. Makkonen. Defect identification in semiconductors with positron annihilation: experiment and theory. Rev. Mod. Phys. 2013;85(4):0034–6861. DOI: 10.1103/revmodphys.85.1583
  8. 8. K.G. Lynn, A.N. Goland. Observation of high momentum tails of positron-annihilation lineshapes. Sol. State Commun. 1976;18(11):1549–1552. DOI: 10.1016/0038-1098(76)90390-2
  9. 9. K.G. Lynn, J.R. MacDonald, R.A. Boie, L.C. Feldman, J.D. Gabbe, M.F. Robbins, E. Bonderup, J. Golovchenko. Positron-annihilation momentum profiles in aluminum: core contribution and the independent-particle model. Phys. Rev. Lett. 1977;38:241. DOI: 10.1103/PhysRevLett.38.241
  10. 10. A. P. Mills. Surface analysis and atomic physics with slow positron beams. Science. 1982;218:335–340. DOI: 10.1126/science.218.4570.335
  11. 11. P.G. Coleman. Positron beams: the journey from fundamental physics to industrial application. Nuclear Instruments and Methods in Physics Research B. 2002;192:83–89. DOI: 10.1016/S0168-583X(02)00710-3
  12. 12. A. Vehanen, J. Mäkinen. Thin films for slow positron generation. J. Appl. Phys. A. 1985;36:97–101. DOI: 10.1007/BF00620615
  13. 13. R. Suzuki, T. Ohdaira, A. Uedono, Y. K. Cho, S. Yoshida, Y. Ishida, T. Ohshima, H. Itoh, M. Chiwaki, T. Mikado. Investigation of positron moderator materials for electron-linac-based slow positron beamlines. Jpn. J. Appl. Phys. 1998;37:4636. DOI: 10.1143/JJAP.37.4636
  14. 14. P. Coleman. The generation and transport of positron beams. In: P. Coleman, editor. Positron Beams and Their Applications. World Scientific; Singapore, 2000. pp. 11–40. DOI: 10.1142/9789812817754_0002
  15. 15. R. M. Nieminen. The fate of slow positrons in condensed matter. In: P. Coleman, editor. Positron Beams and Their Applications. World Scientific; Singapore, 2000. pp. 97–128. DOI: 10.1142/9789812817754_0002
  16. 16. M. Charlton, J. W. Humberston. Positron Physics. Cambridge: Cambridge University Press; 2001. 454 p. ISBN:0521415500
  17. 17. A. Weiss, R. Mayer, M. Jibaly, C. Lei, D. Meh, K. G. Lynn. Auger-electron emission resulting from the annihilation of core electrons with low-energy positrons. Phys. Rev. Lett. 1988;61:2245. DOI: 10.1103/PhysRevLett.61.2245
  18. 18. G. Chiarotti, P. Chiaradia, editors. Physics of Solid Surfaces. Berlin: Springer; 2015. XXII, 750 p. DOI: 10.1007/978-3-662-47736-6
  19. 19. C. Hugenschmidt. Positrons in surface physics. Surf. Sci. Rep. 2016;71:547–594. DOI: 10.1016/j.surfrep.2016.09.002
  20. 20. P. G. Coleman, A. P. Knights, R. M. Gwilliam. Diagnostic measurement of ion implantation dose and uniformity with a laboratory-based positron probe. J. Appl. Phys. 1999;86:5988. DOI: 10.1063/1.371644
  21. 21. C. Szeles, P. Asoka‐Kumar, and K. G. Lynn H.‐J. Gossmann, F. C. Unterwald, T. Boone. Defect distribution in low‐temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons. Appl. Phys. Lett. 1995;66:2855. DOI: 10.1063/1.113452
  22. 22. A. Uedono, S. Tanigawa, J. Sugiura, M. Ogasawara. A study of vacancy-type defects in B+-implanted SiO2/Si by a slow positron beam. Jpn. J. Appl. Phys. 1989;28:1293. DOI: 10.1143/JJAP.28.1293
  23. 23. A. Uedono, S. Tanigawa, T. Ohshima, H. Itoh, M. Yoshikawa. Crystallization of an amorphous layer in P+-implanted 6H-SiC studied by monoenergetic positron beams. J. Appl. Phys. 2000;87:4119. DOI: 10.1063/1.373039
  24. 24. Y. Kobayashi, I. Kojima, S. Hishita, T. Suzuki, E. Asari, M. Kitajima. Damage-depth profiling of an ion-irradiated polymer by monoenergetic positron beams. Phys. Rev. B. 1995;52:823. DOI: 10.1103/PhysRevB.52.823
  25. 25. E.H. Lee, G.R. Rao, L.K. Mansur. LET effect on cross-linking and scission mechanisms of PMMA during irradiation. Radiat. Phys. Chem. 1993;55:293. DOI: 10.1016/S0969-806X(99)00184-X
  26. 26. S. Bangsaruntip, K. Balakrishnan, S.-L. Cheng, J. Chang, M. Brink, I. Lauer, R. L. Bruce, S. U. Engelmann, A. Pyzyna, G. M. Cohen, L. M. Gignac, C. M. Breslin, J. S. Newbury, D. P. Klaus, A. Majumdar, J. W. Sleight, M. A. Guillorn. Density scaling with gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond. Electron Devices Meeting (IEDM), 2013 IEEE International. 2013;DOI: 10.1109/IEDM.2013.6724667
  27. 27. G. Hellings, K. De Meyer. High Mobility and Quantum Well Transistors. Dordrecht: Springer; 2013. 140 p. DOI: 10.1007/978-94-007-6340-1
  28. 28. T. Schenkel, A. Persaud, S. J. Park, J. Nilsson, J. Bokor, J. A. Liddle, R. Keller, D. H. Schneider, D. W. Cheng, D. E. Humphries. Solid state quantum computer development in silicon with single ion implantation. J. Appl. Phys. 2003;94:7017. DOI: 10.1063/1.1622109
  29. 29. P. Neumann, R. Kolesov, B. Naydenov, J. Beck, F. Rempp, M. Steiner, V. Jacques, G. Balasubramanian, M. L. Markham, D. J. Twitchen, S. Pezzagna, J. Meijer, J. Twamley, F. Jelezko, and J. Wrachtrup. Quantum register based on coupled electron spins in a room-temperature solid. Nat. Phys. 2010;6:249 –253. DOI: 10.1038/nphys1536
  30. 30. D. L. Young, W. Nemeth, V. LaSalvia, M. R. Page, S. Theingi, J. Aguiar, B. G. Lee, P. Stradins. Low-cost plasma immersion ion implantation doping for interdigitated back passivated contact (IBPC) solar cells, Solar Energy Mater. Solar Cells. 2016;158:68–76. DOI: 10.1016/j.solmat.2016.05.044
  31. 31. F. Kiefer, R. Peibst, T. Ohrdes, T. Dullweber, J. Krügener, H. Jörg Osten, C. Schöllhorn, A. Grohe, R. Brendel. Influence of the boron emitter profile on VOC and JSC losses in fully ion implanted n-type PERT solar cells, Stat. Sol. (a). 2015;(212):291–297. DOI: 10.1002/pssa.201431118
  32. 32. J. Duchaine, F. Milési, R. Coquand, S. Barraud, S. Reboh, F. Gonzatti, F. Mazen, F. Torregrosa. Plasma immersion ion implantation for sub-22 nm node devices: FD-SOI and Tri-Gate. AIP Conference Proceedings. 2012;1496:71–74. DOI: 10.1063/1.4766492
  33. 33. S. Qin, Y. Jeff Hu, A. McTeer. PLAD (plasma doping) on 22nm technology node and beyond—evolutionary and/or revolutionary, junction technology (IWJT). (2012 12th International Workshop). DOI: 10.1109/IWJT.2012.6212800
  34. 34. E. Napolitani, G. Impellizzeri. Ion implantation defects and shallow junctions in Si and Ge. Semiconduct. Semimet. 2015;91:93–122. DOI: 10.1016/bs.semsem.2015.01.001
  35. 35. A. Uedono, T. Naito, T. Otsuka, K. Ito, K. Shiraishi, K. Yamabe, S. Miyazaki1, H. Watanabe, N. Umezawa, T. Chikyow. Characterization of metal/high-k structures using monoenergetic positron beams. Jpn. J. Appl. Phys. 2007;46:3214. DOI: 10.1143/JJAP.46.3214
  36. 36. A. Uedonoa, R. Hasunumaa, K. Shiraishia, K. Yamabea, S. Inumiyab, Y. Akasakac, S. Kamiyamad, T. Matsukid, T. Aoyamad, Y. Narad, S. Miyazakie, H. Watanabef, N. Umezawag, T. Chikyowh, S. Ishibashii, T. Ohdairai, R. Suzukii, K. Yamadaj. Vacancy-type defects in MOSFETs with high-k gate dielectrics probed by monoenergetic positron beams. ECS Trans. 2007;11(4):81–90. DOI: 10.1149/1.2779550
  37. 37. T. Matsuki, T. Watanabe, T. Miura, N. Mise, T. Eimori, Y. Nara, Y. Ohji, A. Uedono, K. Yamada. Impact of high temperature annealing on traps in physical-vapor-deposited-TiN/SiO2/Si analyzed by positron annihilation. Jpn. J. Appl. Phys. 2007;46:L1219. DOI: 10.1143/JJAP.46.L1219
  38. 38. A. Uedonoa, K. Ikeuchi, T. Otsuka, K. Ito, K. Yamabe M. Kohno, T. Moriya, N. Okumura, T. Nakanishi, T. Arikado T. Ohdaira, R. Suzuki. Open volumes in SiN films for strained Si transistors probed using monoenergetic positron beams. Appl. Phys. Lett. 2006;88:252107. DOI: 10.1063/1.2216901
  39. 39. C.F. Hsieh, C.W. Chen, C.H. Chen, M.H. Liao. Optimized Si0.5Ge0.5/Si interface quality by the process of low energy hydrogen plasma cleaning and investigation by positron annihilation spectroscopy. Proc. Eng. 2014;79:328–332. DOI: 10.1016/j.proeng.2014.06.351
  40. 40. S. Garaj, W. Hubbard, J.A. Golovchenko. Graphene synthesis by ion implantation. Appl. Phys. Lett. 2010;97:183103. DOI: 10.1063/1.3507287
  41. 41. H. Tsai, C. Hsiao, C. Chen, H. Ouyang, J. Liang. Synthesis of nonepitaxial multilayer silicene assisted by ion implantation. Nanoscale. 2016;8:9488–9492. DOI: 10.1039/C6NR02274J
  42. 42. M. Hori, M. Uematsu, A. Fujiwara, Y. Ono. Electrical activation and electron spin resonance measurements of arsenic implanted in silicon. Appl. Phys. Lett. 2015;106:142105. DOI: 10.1063/1.4917295
  43. 43. W. L. Ng, M. A. Lourenço, R. M. Gwilliam, S. Ledain, G. Shao, K. P. Homewood. An efficient room-temperature silicon-based light-emitting diode. Nature. 2001;410:192–194. DOI: 10.1038/35065571
  44. 44. B.J. Mrstik, H.L. Hughes, P.J. McMarr, R.K. Lawrence, D.I. Ma, I.P. Isaacson, R.A. Walker. Hole and electron trapping in ion implanted thermal oxides and SIMOX. IEEE Transactions on Nuclear Science. 2000;47(6):2189–2195. DOI: 10.1109/23.903752
  45. 45. R. A. B. Devine. The structure of SiO2, its defects and radiation hardness. IEEE Trans. Nucl. Sci. 1994;41:452–459. DOI: 10.1109/23.299784
  46. 46. M. Fanciulli, editor. Electron Spin Resonance and Related Phenomena in Low-Dimensional Structures. Berlin, Heidelberg: Springer; 2009. 276 p. DOI: 10.1007/978-3-540-79365-6
  47. 47. K. Marumoto, S. Kuroda, T. Takenobu, Y. Iwasa. Spatial extent of wave functions of gate-induced hole carriers in pentacene field-effect devices as investigated by electron spin resonance. Phys. Rev. Lett. 2006; 97:256603. DOI: 10.1103/PhysRevLett.97.256603
  48. 48. A. Stesmans and V.V. Afanas’ev. Electron spin resonance features of interface defects in thermal (100)Si/SiO2. J. Appl. Phys. 1998;83:2449. DOI: 10.1063/1.367005
  49. 49. A. Stesmans. Passivation of Pb0 and Pb1 interface defects in thermal (100)Si/SiO2 with molecular hydrogen. Appl. Phys. Lett. 1996;68:2076. DOI: 10.1063/1.116308
  50. 50. D. Hiller, M. Jivanescu, A. Stesmans, M. Zacharias. Pb0 centers at the Si-nanocrystal/SiO2 interface as the dominant photoluminescence quenching defect. J. Appl. Phys. 2010;107:084309. DOI: 10.1063/1.3388176
  51. 51. H. M. Assenheim, editor. Electron Spin Resonance in Semiconductors. 2nd ed. New York: Plenum Press; 1967. 152 p. DOI: 10.1007/978-1-4684-1737-1
  52. 52. J. E. Wertz, J. R. Bolton. Electron Spin Resonance: Elementary Theory and Practical Applications. 2nd ed. New York, London: Chapman and Hall; 1986. 497 p. DOI: 10.1007/978-94-009-4075-8
  53. 53. A. Stesmans, V. V. Afanas´ev. Electron spin resonance of interfaces and nanolayers in semiconductors. In: Characterization of Semiconductor Heterosctructures and Nanosctructures. 2nd ed. 2013. Elsevier, the Netherlands, the UK, pp. 685–752. DOI:10.1016/B978-0-444-59551-5.00016-9
  54. 54. A. Stesmans, B. Nouwen, and V.V. Afanas’ev. Structural degradation of thermal SiO2 on Si by high-temperature annealing: defect generation. Phys. Rev. B. 2002;66:045307. DOI: 10.1103/PhysRevB.66.045307
  55. 55. Y. Nishi. Study of silicon-silicon dioxide structure by electron spin resonance. Jpn. J. Appl. Phys. 1971; 110: 52. DOI: 10.1143/JJAP.10.52
  56. 56. A. Stesmans. Electron spin resonance of [11¯1],[1¯11], and [111¯] oriented dangling orbital Pb0 defects at the (111) Si/SiO2 interface. Appl. Phys. Lett. 1986;48:972. DOI: 10.1063/1.96627
  57. 57. G. Lucovsky, Y. Wu, H. Niimi, V. Misra, J. C. Phillips. Bonding constraint-induced defect formation at Si-dielectric interfaces and internal interfaces in dual-layer gate dielectrics. J. Vac. Sci. Technol. 1999;B17:1806. DOI: 10.1116/1.590831
  58. 58. K. L. Brower. Strain broadening of the dangling-bond resonance at the (111)Si-SiO2 interface. Phys. Rev. B. 1986; 33:4471. DOI: 10.1103/PhysRevB.33.4471
  59. 59. A. Stesmans, J. Braet. In: J.J. Simonne, J. Buxo, editors. Insulating Films on Semiconductors. North-Holland ed. Amsterdam; 1986. p. 25.
  60. 60. D. L. Griscom. Self-trapped holes in pure silica glass: a history of their discovery and characterisation and an example of their critical significance to industry. J. Non-Cryst. Sol. 2006;352:2601–2617. DOI: 10.1016/j.jnoncrysol.2006.03.033
  61. 61. M. Kaviani, J. Strand, V. V. Afanas’ev, A. L. Shluger. Deep electron and hole polarons and bipolarons in amorphous oxide. Phys. Rev. B. 2016;94:020103(R). DOI: 10.1103/PhysRevB.94.020103
  62. 62. A. Stesmans, F. Scheerlinck. Parameters controlling the generation of natural intrinsic EX defects in thermal SiO2 on Si. J. Non-Cryst. Sol. 1995;187:119–123. DOI: 10.1016/0022-3093(95)00123-9
  63. 63. M. Stapelbroek, D.L. Griscom, E.J. Friebele, G.H. Sigel Jr. Oxygen-associated trapped-hole centers in high-purity fused silicas. J. Non-Cryst. Sol. 1979;32:313–326. DOI: 10.1016/0022-3093(79)90079-6
  64. 64. E. J. Friebele, D. L. Griscom, M. Stapelbroek, R. A. Weeks. Fundamental defect centers in glass: the peroxy radical in irradiated, high-purity, fused silica. Phys. Rev. Lett. 1979;42:1346. DOI: 10.1103/PhysRevLett.42.1346
  65. 65. W. L. Warren, E. H. Poindexter, M. Offenberg, W. Muller-Warmuth. Paramagnetic point defects in amorphous silicon dioxide and amorphous silicon nitride thin films. Part 1. a‐SiO2. J. Electrochem. Soc. 1992;139:872. DOI: 10.1103/PhysRevLett.42.1346
  66. 66. T. Uchino, M. Takahashi, T. Yoko. E′ centers in amorphous SiO2 revisited: a new look at an old problem. Phys. Rev. Lett. 2001;86:5522. DOI: 10.1103/PhysRevLett.86.5522
  67. 67. Al-M. El-Sayed, M. B. Watkins, T. Grasser, V. V. Afanas’ev, A. L. Shluger. Hydrogen induced rupture of Si–O bonds in amorphous silicon dioxide. Phys. Rev. Lett. 2015;114:115503. DOI: 10.1103/PhysRevLett.86.5522
  68. 68. V. Zekeriya, T. P. Ma. Interface traps generated by internal photoemission in Al-SiO2-Si structures. Appl. Phys. Lett. 1983;43:95. DOI: 10.1063/1.94135
  69. 69. Y. Nishi, K. Tanaka, A. Ohwada. Study of silicon-silicon dioxide structure by electron spin resonance II. Jpn. J. Appl. Phys. 1972;11:85. DOI: 10.1103/PhysRevLett.86.5522
  70. 70. E. H. Poindexter, G. J. Gerardi, M.‐E. Rueckel, P. J. Caplan, N. M. Johnson, D. K. Biegelsen. Electronic traps and Pb centers at the Si/SiO2 interface: band‐gap energy distribution. J. Appl. Phys. 1984;56(2844). DOI: 10.1063/1.333819
  71. 71. H. G. Grimmeiss, W. R. Buchwald, E. H. Poindexter, P. J. Caplan, M. Harmatz, G. J. Gerardi, D. J. Keeble, N. M. Johnson. Optical and electrical studies of interface traps in the Si/SiO2 system by modified junction space-charge techniques. Phys. Rev. B. 1989;39:5175. DOI: 10.1103/PhysRevB.39.5175
  72. 72. N. M. Johnson, W. Shan, P. Y. Yu. Pressure dependence of the Pb center at the (111)Si/SiO2 interface. Phys. Rev. B. 1989;39:3431(R). DOI: 10.1103/PhysRevB.39.3431
  73. 73. G. J. Gerardi, E. H. Poindexter, P. J. Caplan, N. M. Johnson. Interface traps and Pb centers in oxidized (100)  silicon wafers. Appl. Phys. Lett. 1986;49:348. DOI: 10.1063/1.97611
  74. 74. A. Stesmans, V. V. Afanas’ev. Electrical activity of interfacial paramagnetic defects in thermal (100)Si/SiO2. Phys. Rev. B. 1998;57:10030. DOI: 10.1103/PhysRevB.39.3431
  75. 75. V. V. Afanas’ev, A. Stesmans. Charge state of paramagnetic centre in thermal SiO2 layers. J. Phys.: Condens. Matter. 2000;12:2285–2290. DOI: 10.1088/0953-8984/12/10/312
  76. 76. A. Stesmans, K. Clémer, V. V. Afanas’ev. P-associated defects in the high-k insulators HfO2 and ZrO2 revealed by electron spin resonance. Phys. Rev. B. 2008;77:125341. DOI: 10.1103/PhysRevB.77.125341
  77. 77. M. Jivanescu, A. Romanyuk, A. Stesmans. Influence of in situ applied ultrasound during Si+ implantation in SiO2 on paramagnetic defect generation. J. Appl. Phys. 2010;107:114307. DOI: 10.1063/1.3369041
  78. 78. D. Tsvetanova, R. Vos, G. Vereecke, T. N. Parac-Vogt, F. Clemente, K. Vanstreels, D. Radisic, T. Conard, A. Franquet, M. Jivanescu, D. A. P. Nguyen, A. Stesmans, B. Brijs, P. Mertens, M. M. Heyns. Degradation of 248 nm deep UV photoresist by ion implantation. J. Electrochem. Soc. 2011;158:H785–H794. DOI: 10.1149/1.3597176
  79. 79. M. S. Dresselhaus, R. Kalish. Ion Implantation in Diamond, Graphite and Related Materials. Berlin, Heidelberg: Springer; 1992. 202 p. DOI: 10.1007/978-3-642-77171-2
  80. 80. T. Schenkel, J. A. Liddle, A. Persaud, A. M. Tyryshkin, S. A. Lyon, R. de Sousa and K. B. Whaley, J. Bokor, J. Shangkuan, I. Chakarov. Electrical activation and electron spin coherence of ultralow dose antimony implants in silicon. Appl. Phys. Lett. 2006;88:112101. DOI: 10.1063/1.2182068
  81. 81. D. M. Toyli, C. D. Weis, G. D. Fuchs, T. Schenkel, D. D. Awschalom. Chip-scale nanofabrication of single spins and spin arrays in diamond. Nano Lett. 2010;10:3168–3172. DOI: 10.1021/nl102066q
  82. 82. D. K. Schroder. Semiconductor Material and Device Characterization. 3rd ed. Hokoben, U.S.A.: John Wiley & Sons, 2006. 800 p. DOI: 10.1002/0471749095
  83. 83. C.N. Berglund. Surface states at steam-grown silicon-silicon dioxide interfaces. IEEE Trans. Electron Dev. 1966;3:701–705. DOI: 10.1109/T-ED.1966.15827
  84. 84. T. Sakurai, T. Sugano. Theory of continuously distributed trap states at Si‐SiO2 interfaces. J. Appl. Phys.1981;52:2889. DOI: 10.1063/1.329023
  85. 85. P. V. Gray, D. M. Brown. Density of SiO2–Si interface states. Appl. Phys. Lett. 1966;8:31. DOI: 10.1063/1.1754468
  86. 86. L. M. Terman. An investigation of surface states at a silicon/silicon oxide interface employing metal-oxide-silicon diodes. Sol. State Electron. 1962;5:285-299. DOI: 10.1016/0038-1101(62)90111-9
  87. 87. E. M. Vogel, A. M. Sonnet, C. L. Hinkle. Characterization of electrically active interfacial defects in high-κ gate dielectrics. ECS Trans. 2007;11(4):393–406. DOI: 10.1149/1.2779576
  88. 88. D. P. Kennedy, P. C. Murley, W. Kleinfelder. On the measurement of impurity atom distributions in silicon by the differential capacitance technique. IBM J. Res. Dev. 1968;12:399–409. DOI: 10.1147/rd.125.0399
  89. 89. P. Blood. Capacitance-voltage profiling and the characterisation of III-V semiconductors using electrolyte barriers. Semicond. Sci. Technol. 1:7. DOI: 10.1088/0268-1242/1/1/002
  90. 90. A. Koeder, S. Frank, W. Schoch, V. Avrutin, W. Limmer, K. Thonke, R. Sauer, A. Waag, M. Krieger, K. Zuern, P. Ziemann, S. Brotzmann, H. Bracht. Curie temperature and carrier concentration gradients in epitaxy-grown Ga1−xMnxAsGa1−xMnxAs layers. Appl. Phys. Lett. 2003;82 :3287. DOI: 10.1063/1.1573369
  91. 91. M. Green. Electrochemistry of the semiconductor-electrolyte electrode. I. The electrical double layer. J. Chem. Phys. 1959;31:200–203. DOI: 10.1063/1.1730295
  92. 92. A. J. Nozik, R. Memming. Physical chemistry of semiconductor-liquid interfaces. J. Phys. Chem. 1996;100 (31):13061–13078. DOI: 10.1021/jp953720
  93. 93. R. Rios, N. D. Arora. Determination of ultra-thin gate oxide thicknesses for CMOS structures using quantum effects. Electron Devices Meeting. IEDM '94. Technical Digest, 25.6.1. 1994;DOI: 10.1109/IEDM.1994.383335
  94. 94. F. Rana, S. Tiwari, D. A. Buchanan. Self-consistent modeling of accumulation layers and tunneling currents through very thin oxides. Appl. Phys. Lett. 1996;69:1104-1106. DOI: 10.1063/1.117072
  95. 95. S. Takagi, A. Toriumi. Quantitative understanding of inversion-layer capacitance in Si MOSFET's. IEEE Trans. Electron Dev. 1995;42:2125–2130. DOI: 10.1109/16.477770
  96. 96. M. Sze, Physics of Semiconductor Devices. Wiley ed. New York: 1969. 432-436 p.
  97. 97. V. V. Afanas’ev, J. M. M. de Nijs, P. Balk, A. Stesmans. Degradation of the thermal oxide of the Si/SiO2/Al system due to vacuum ultraviolet irradiation. J. Appl. Phys. 1995;78:6481. DOI: 10.1063/1.360534
  98. 98. V. V. Afanas’ev, J. M. M. de Nijs, P. Balk. Elimination of hydrogen related instabilities in Si/SiO2 structures by fluorine implantation. J. Appl. Phys. 1994;76:7990. DOI: 10.1063/1.357911
  99. 99. P. De Wolf, R. Stephenson, T. Trenkler, T. Clarysse, T. Hantschel, W. Vandervorst. Status and review of two-dimensional carrier and dopant profiling using scanning probe microscopy. J. Vac. Sci. Technol. B. 2000;18:361. DOI: 10.1116/1.591198
  100. 100. K. Yamasaki, M. Yoshida, T. Sugano. Deep level transient spectroscopy of bulk traps and interface states in Si MOS diodes. Jpn. J. Appl. Phys. 1979;18(113). DOI: 10.1143/JJAP.18.113
  101. 101. J. F. Cordaro, Y. Shim, J. E. May, Bulk electron traps in zinc oxide varistors. J. Appl. Phys. 1986;60(4186). DOI: 10.1063/1.337504
  102. 102. M. J. Uren, K. M. Brunson, A. M. Hodge. Separation of two distinct fast interface state contributions at the (100)Si/SiO2 interface using the conductance technique. Appl. Phys. Lett. 1992;60:624. DOI: 10.1063/1.107461
  103. 103. G. Pensl, F. Ciobanu, T. Frank, D. Kirmse, M. Krieger, S. Reshanov, F. Schmid, M. Weidner, T. Ohshima, H. Itoh, W.J. Choyke. Defect-engineering in SiC by ion implantation and electron irradiation. Microelectron. Eng. 2006;83: 146–149. DOI: 10.1016/j.mee.2005.10.040
  104. 104. E. H. Nicollian, J. R. Brews. MOS (Metal Oxide Semiconductor) Physics and Technology. U.S.A.: John Wiley & Sons, 2002. 978 p. ISBN: 978-0-471-43079-7
  105. 105. E. H. Nicollian, A. Goetzberger. The Si-SiO2 interface—electrical properties as determined by the metal-insulator-silicon conductance technique. Bell Labs Techn. J. 1967;XLVI:1055-1133. DOI: 10.1002/j.1538-7305.1967.tb01727.x
  106. 106. H. Preier. Contributions of surface states to MOS impedance. Appl. Phys. Lett. 1967;10:361–363. DOI: 10.1063/1.1728213
  107. 107. S. Collins, M. J. Kirton, M. J. Uren. Asymmetric conductance peaks observed in silicon metal-oxide-semiconductor capacitors. Appl. Phys. Lett. 1990;57 :372. DOI: 10.1063/1.103695
  108. 108. E.M. Vogel, W.K. Henson, C.A. Richter, J.S. Suehle. Limitations of conductance to the measurement of the interface state density of MOS capacitors with tunneling gate dielectrics. IEEE Trans. Electron Dev. 2000;47:601–608. DOI: 10.1109/16.824736
  109. 109. L. Autran, F. Seigneur, C. Plossu, B. Balland. Characterization of Si-SiO2 interface states: comparison between different charge pumping and capacitance techniques. J. Appl. Phys. 1993;74:3932. DOI: 10.1063/1.354493
  110. 110. T. Aichinger, M. Nelhiebel. Characterization of MOSFET interface states using the charge pumping technique. In: T. Grasser, editor. Hot Carrier Degradation in Semiconductor Devices. Springer; Switzerland, 2015. pp. 231-255. DOI: 10.1007/978-3-319-08994-2_8
  111. 111. P. Masson, J.-L. Autran, M. Houssa, X. Garros, C. Leroux. Frequency characterization and modeling of interface traps in HfSixOy/HfO2 gate dielectric stack from a capacitance point-of-view. Appl. Phys. Lett. 2002;81:3392–3394. DOI: 10.1063/1.1518561
  112. 112. O. Engström, B. Raeissi, J. Piscator. Vibronic nature of hafnium oxide/silicon interface states investigated by capacitance frequency spectroscopy. J. Appl. Phys. 2008;103:104101. DOI: 10.1063/1.2921795
  113. 113. Y. G. Fedorenko, V. V. Afanas’ev, A. Stesmans. Impact of Al incorporation in hafnia on interface states in (100)Si/HfAlxOy. Microelectron. Eng. 2005;80:66–69. DOI: 10.1016/j.mee.2005.04.045
  114. 114. L. Dobaczewski, A. R. Peaker, K. Bonde Nielsen. Laplace-Transform deep-level spectroscopy: the technique and its applications to the study of point defects in semiconductors. J. Appl. Phys. 2004;96:4689. DOI: 10.1063/1.1794897
  115. 115. N. M. Johnson. Energy resolved DLTS measurement of interface states in MIS structures. Appl. Phys. Lett. 1979;34:802. DOI: 10.1063/1.90650
  116. 116. T. Katsube, K. Kakimoto, T. Ikoma. Temperature and energy dependences of capture cross sections at surface states in Si metal-oxide-semiconductor diodes measured by deep level transient spectroscopy. J. Appl. Phys. 1981;52:3504. DOI: 10.1063/1.329128
  117. 117. T. Hashizume, H. Hasegawa, R. Riemenschneider, H. L. Hartnagel. Process-induced defects in InP caused by chemical vapor deposition of surface passivation dielectrics. Jpn. J. Appl. Phys. 1994;33:727. DOI: 10.1143/JJAP.33.727
  118. 118. A. G. Aberle, S. Glunz, W. Warta. Impact of illumination level and oxide parameters on Shockley-Read-Hall recombination at the Si-SiO2 interface. J. Appl. Phys. 1992;71:4422. DOI: 10.1063/1.350782
  119. 119. A. E. Khorasani, D. K. Schroder, T. L. Alford. A fast technique to screen carrier generation lifetime using DLTS on MOS capacitors. IEEE Trans. Electron Dev. 2014;61:3282–3288. DOI: 10.1109/TED.2014.2337898
  120. 120. V. V. Afanas'ev. Internal Photoemission Spectroscopy. Principles and Applications. Elsevier, UK and the Netherlands, ed. 2008. 312 p.
  121. 121. T. H. DiStefano. Barrier inhomogeneities on a Si–SiO2 interface by scanning internal photoemission. Appl. Phys. Lett. 1971;19:280. DOI: 10.1063/1.1653918
  122. 122. E. Harari, B. S. H. Royce. Oxide charge trapping induced by ion implantation in SiO2. IEEE Trans. Nucl. Sci. 1973;20:288–292. DOI: 10.1109/TNS.1973.4327409
  123. 123. S. Murase, T. Mishima, T. Nakamura, K. Shiojima. Mapping of ion-implanted n-SiC Schottky contacts using scanning internal photoemission microscopy. Mater. Sci. Semicond. Process. In Press. DOI: 10.1016/j.mssp.2016.10.055
  124. 124. D.M. Fleetwood, L.C. Riewe, J.R. Schwank, S.C. Witczak, R.D. Schrimpf. Radiation effects at low electric fields in thermal, SIMOX, and bipolar-base oxides. IEEE Trans. Nucl. Sci. 1996;43:2537-2546. DOI: 10.1109/23.556834
  125. 125. R.E. Stahlbush, H.L. Hughes, W.A. Krull. Reduction of charge trappings and electron tunneling in SIMOX by supplemental implantation of oxygen. IEEE Trans. Nucl. Sci. 1993;40:1740–1747. DOI: 10.1109/23.273484
  126. 126. V. V. Afanas'ev, G. A. Brown, H. L. Hughes, S. T. Liu, A. G. Revesz. Conducting and charge-trapping defects in buried oxide layers of SIMOX structures. J. Electrochem. Soc. 1996;143:347–352. DOI: 10.1149/1.1836434
  127. 127. G. Zhang, Z. Liu, N. Li, Z. Zhen, G. Li. Influence of fluorine on radiation-induced charge trapping in the SIMOX buried oxides. Solid-State and Integrated Circuits Technology Proceedings. 7th International Conference on 18-21 Oct. 2004. 2004; DOI: 10.1109/ICSICT.2004.1436640
  128. 128. H. Huang, Y. Yang Huang, J. Zheng, S. Wei, K. Tang, D. Bib, Z. Zhang. Hardening silicon-on-insulator nMOSFETs by multiple-step Si+ implantation. Microelectron. Reliab. 2016;57:1–9. DOI: 10.1016/j.microrel.2015.12.015
  129. 129. S. Cristoloveanu, M. Bawedin, I. Ionica. A review of electrical characterization techniques for ultrathin FDSOI materials and devices. Sol. State Electron. 2016;117:10–36. DOI: 10.1016/j.sse.2015.11.007
  130. 130. K. Potter, K. Morgan, C. Shaw, P. Ashburn, W. Redman-White, C.H. De Groot. Total ionizing dose response of fluorine implanted silicon-on-insulator buried oxide. Microelectron. Reliab. 2014;54:2339–2343. DOI: 10.1016/j.microrel.2014.07.018
  131. 131. Y. Li, W. M. Porter, C. Kshirsagar, I. Roth, Y. Su, M. A. Reynolds, B. J. Gerbi, S. J. Koester. Fully-depleted silicon-on-insulator devices for radiation dosimetry in cancer therapy. IEEE Trans. Nucl. Sci. 2014;61:3443–3450. DOI: 10.1109/TNS.2014.2365544
  132. 132. F. Ciobanu, T. Frank, G. Pensl, V. Afanas'ev, S. Shamuilia, A. Schöner, T. Kimoto. Nitrogen implantation – an alternative technique to reduce traps at SiC/SiO2-interfaces. Mater. Sci. Forum. 2006;527-529:991–994
  133. 133. Chan, N. Y. Martinez, J. J. D. Fitzgerald, A. V. Walker, R. A. Chapman, D. Riley, A. Jain, C. L. Hinkle, E.M. Vogel. Extraction of correct Schottky barrier height of sulfur implanted NiSi/n-Si junctions: junction doping rather than barrier height lowering. Appl. Phys. Lett. 2011;99:012114. DOI: doi: 10.1063/1.3609874
  134. 134. Z. Zhang, J. Atkin, M. Hopstaken, M. Hatzistergos, P. Ronsheim, E. Liniger, R. Laibowitz, P. M. Solomon. Probing the interface barriers of dopant-segregated silicide–Si diodes with internal photoemission. IEEE Trans. ED. 2012;59:2027–2032. DOI: 10.1109/TED.2012.2197399
  135. 135. S. Christensson, I. Lundström, C. Svensson. Low frequency noise in MOS transistors—I. Theory. Sol. State Electron. 1968;11:797–812. DOI: 10.1016/0038-1101(68)90100-7
  136. 136. M.J. Kirton, M.J. Uren. Noise in solid-state microstructures: a new perspective on individual defects, interface states and low-frequency (1/ƒ) noise. Adv. Phys. 1989;38:4:367–468. DOI: 10.1080/00018738900101122
  137. 137. M. J. Uren, S. Coilins, M. J. Kirton. Observation of “slow” states in conductance measurements on silicon metal-oxide-semiconductor capacitors. Appl. Phys. Lett. 1989;54:1448. DOI: 10.1063/1.100693
  138. 138. P. J. McWhorter, P. S. Winokur. Simple technique for separating the effects of interface traps and trapped oxide charge in metal-oxide-semiconductor transistors. Appl. Phys. Lett. 1986;48:133. DOI: 10.1063/1.96974
  139. 139. R.E. Paulsen, R.R. Siergiej, M.L. French, M.H. White. Observation of near-interface oxide traps with the charge-pumping technique. IEEE Electron Dev. Lett. 1992;13:627–629. DOI: 10.1109/55.192866
  140. 140. S. T. Sheppard, M. R. Melloch, J. A. Cooper. Characteristics of inversion-channel and buried-channel MOS devices in 6H-SiC. IEEE Trans. Electron Dev. 1994;41:1257–1264. DOI: 10.1109/16.293356
  141. 141. Y. G. Fedorenko, L. Truong, V. V. Afanas’ev, A. Stesmans. Energy distribution of the (100) Si/HfO2 interface states. Appl. Phys. Lett. 2004;84:4771. DOI: 10.1063/1.1758302
  142. 142. S. K. Lai. Interface trap generation in silicon dioxide when electrons are captured by trapped holes. J. Appl. Phys. 1983;54:2540. DOI: 10.1063/1.332323
  143. 143. D.M. Fleetwood. Effects of hydrogen transport and reactions on microelectronics radiation response and reliability. Microelectron. Reliab. 2002;42:523–541. DOI: 10.1016/S0026-2714(02)00019-7
  144. 144. S. Szpala, P. Asoka-Kumar, B. Nielsen, J. P. Peng, S. Hayakawa, K. G. Lynn, H.-J. Gossmann. Defect identi?cation using the core-electron contribution in Doppler-broadening spectroscopy of positron-annihilation radiation. Phys. Rev. B. 1996;54:4722–4731. DOI: 10.1103/PhysRevB.54.4722
  145. 145. E. H. Poindexter, P. J. Caplan. Characterization of Si/SiO2 interface defects by electron spin resonance. Progr. Surf. Sci. 1983;14:201–294. DOI: 10.1016/0079-6816(83)90006-0

Written By

Yanina G. Fedorenko

Submitted: 24 October 2016 Reviewed: 07 February 2017 Published: 14 June 2017