Open access

Low Dielectric Materials for Microelectronics

Written By

He Seung Lee, Albert. S. Lee, Kyung-Youl Baek and Seung Sang Hwang

Submitted: 17 November 2011 Published: 03 October 2012

DOI: 10.5772/51499

From the Edited Volume

Dielectric Material

Edited by Marius Alexandru Silaghi

Chapter metrics overview

18,839 Chapter Downloads

View Full Metrics

1. Introduction

Over the past half century, low dielectric materials have beenintensively researched by ceramic and polymer scientists. However, these materials possess a vast myriad of electrical, thermal, chemical, and mechanical properties that are just as crucial as the name that classifies them. Therefore, in many cases, the applications of low dielectric constant materials are dictated by these other properties, and the choice of low dielectric material may have a tremendous effect on a device’s performance and lifetime.

In the field of microelectronics, many of the early low dielectric materials have been satisfactory in covering the required properties. But as the microelectronics industry continuously boomed through the 21st century, more and more advanced processes and materials have been in demand. Since the invention of microprocessor, the number of active devices on a chip has been exponentially increasing, approximately doubling every year, famously forecast by Gordon Moore in 1965. All of this is driven by the need for optimal electrical and functional performance.

Figure 1 showsthe shrinking of the device dimensions over signal delay value. And while the total capacitance can be traded for resistance and vice versa by changing the geometry of the wire cross-section, theRCwill always increase for future nodes. In other words, in order to enhance performance, decreasing the device size, as well as decreasing the interconnecting wire distance, gate and interconnect signals delay is the main challenge for ceramic and polymer scientists to overcome. In another approach to solve this RC delay problem, researchers have already changed the aluminum line to Cu line, which has lower resistance. But due to limitations in metal lines being applicable for use, research of low dielectric materials are continually being pursued today. The main challenge for researchers in the microelectronic industry is not to develop materials with the lowest dielectricconstant, but to find materials that satisfy all of the electrical, thermal, chemical, and mechanical properties required for optimal device performance.

Figure 1.

Calculated gate and interconnect dely as a function of technology node according to the National Technology Roadmap for Semiconductores(NTRS) in 1997 (top): █ gate delay; ▲ interconnect delay (Al and SiO2); ● sum of delays (Al and SiO2) and ITRS technology trend targets (bottom)

Advertisement

2. Definition of dielectric constant

Dielectric constant k (also called relative permittivity εr) isthe ratio of the permittivity of a substance to that of freespace. A material containing polar components, such as polar chemical bonds, which arepresented as electric dipoles in Figure 2,has an elevated dielectric constant, in which the electrical dipoles alignunder an external electric field. This alignment of dipoles adds to the electric field. As a result, a capacitor witha dielectric medium of higher k will hold more electric chargeat the same applied voltage or, in other words, itscapacitance will be higher. The dipole formation is a result ofelectronic polarization (displacement of electrons), distortionpolarization (displacement of ions), or orientationpolarization (displacement of molecules) in an alternatingelectric field. These phenomena have characteristicdependencies on the frequency of the alternating electricfield, giving rise to a change in the real and imaginary part ofthe dielectric constant between the microwave, ultraviolet,and optical frequency range.

Figure 2.

Schematic illustrationof a capacitor.

Advertisement

3. Property requirements of low dielectric material

Dielectric materials must meet stringent material property requirements for successful integration into the interconnect structures. These requirements are based on electrical properties, thermal stability, thermomechanicaland thermal stress properties, and chemical stability. The desired electrical properties can be outlined as low dielectric constant, low dielectric loss and leakage current, and high breakdown voltage. As RC delay and crosstalk are primarily determined by the dielectric constant, in a typical CVD SiO2 film, the dielectric constant is around 4. And although many polymeric materials satisfy these electrical criteria, the dimensional stability, thermal and chemical stability, mechanical strength, and thermal conductivity of polymers are inferior to those of SiO2.

ElectricalChemicalMechanicalThermal
Dielectirc constant
Anisotropy
Low dissipation
Low leakage current
Low charge trapping
High electric-field
strength
High reliability
Chemical resistance
Etch selectivity
Low moisture uptake
Low solubility in H2O
Low gas permeability
High purity
No metal corrosion
Long storage life
Enviromentally safe
Thickness uniformly
Good adhesion
Low stress
High hardness
Low shrinkage
Crack resistance
High tensile modulus
High thermal stability
Low coefficient of
thermal expansion
Low thermal weight
loss
High thermal
conductivity

Table 1.

Property Requirements of Low-k Dielectrics

In the fabrication of the multilevel structures, as many as 10 to 15 temperature treatments are repeated at elevated temperatures exceeding 400-425oC. This inherent processing of inter-dielectric (ILD) materials makes thermal stability a key prerequisite of low dielectric materials in microelectronics. Not only is the thermal stability in terms of degradation key, but the insensitivity to thermal history may be just as important. For example, changes in the crystallinity and/or crystalline phases during these thermal cycles may cause changes in the electrical and/or mechanical properties, making the material dependent on its thermal history. Other problems seldom seen in thermal processing include outgassing of volatile solvents and/or decomposition products which may cause poisoning, delamination, blistering, or cracking in the ILD.

Another thermomechanical concern of ILD materials is its coefficient of thermal expansion (CTE). The extensive thermal cycling of microelectronics may also cause stresses in the interconnect structure if there is a CTEmismatch between the ILD material and the metal or substrate. These stresses invariably cause delamination if adhesion is poor. And while adhesion promoters may be added to enhance wetting and chemical bonding at the interface between the ILD and substrate, this is mostly undesired from manufacturing point of view, as it adds unnecessary processing steps. Also, if the adhesion promoter thermally degrades, it may lead to adhesion failures or create a leakage path.

Adhesion is determined by chemical bonding at the metal/ILD interface and the mechanical interaction between the metal and ILD. Thus, ideal ILDs should have good mechanical properties such as a large Young’s modulus (E), tensile strength, and elongation-at-break. Andalthough it is uncertain what constitutes sufficient mechanical strength for successful integration into a manufacturable process, the elongation-at-break should be as large as possible to sustain the deformation and impart crack resistance, even at elevated temperatures. Also, a high modulus retention at elevated temperatures, E(T), is required for the ILD to maintain its structural integrity and dimensional stability during subsequent processing steps. Related to E(T) is the glass transition temperature, Tg. Since exceeding the Tg causes a large decrease in the modulus and yield stress in amorphous, non-crosslinked polymers, a Tg greater or equal to the highest processing temperature is desired. For example, residual compressive stresses in capping layers can cause buckling and delamination of the capping films due to the compliance of an ILD above its Tg [1,2]. Buckling has also been observed in capping layers deposited below the ILD’s Tg if the capping film is highly compressive [3].

Other processing concerns include chemical resistance to the solvents and etchants commonly used during chip fabrication, chemical interaction with the metal lines causing corrosion, and moisture uptake. Moisture is a primary concern because even trace amounts can have a detrimental impact on the dielectric constant. The ILDs should also be free of trace metal contaminants, have long shelf-lives, and, preferably, not require refrigeration. Metal contamination, which can compromise the device and provide a leakage path between lines, is often a problem for polymers synthesized using metal catalysts. Other processing requirements include the ability to pattern and etch the film, etch selectivity to resists, good thickness uniformity, gap-fill in submicron trenches, and planarization.

The long-term reliability of chips fabricated using low-k materials must also be evaluated. Electromigration and stress voiding are primary failure mechanisms in integrated circuits [4-6] and these are reliability concerns when replacing SiO2 with an alternative ILD that has thermal and mechanical properties inferior to those of SiO2.

Advertisement

4. Design of low dielectric material

There are two strategies for designing a low dielectric material: decreasingdipole strength or the number of dipoles (Fig. 4) or a combination of both. In the first strategy, materials with chemical bonds of lower polarizability than Si-O or lower density would be used. Today, the microelectronics industry has already moved to certain low-k materials, where somesilica Si-O bonds have been replaced with less polar Si-F orSi-C bonds. A more elementary reduction of the polarizability can be attained by utilizing all nonpolar bonds, such as C-C or C-H, as in the case of organic polymers.

BondPolarizability
3)
Average bond energy
(Kcal/mole)
C - C0.53183
C - F0.555116
C - O0.58484
C - H0.65299
O - H0.706102
C=O1.020176
C=C1.643146
C≡C2.036200
C≡N2.239213

Table 2.

Electronic polarizability [7] and bond enthalpieds [8]

The second strategy involves decreasing the number of dipoles within the ILD material by effectively decreasing the density of a material. This can be achieved by increasingthe free volume through rearranging the material structure orintroducing porosity. Porosity can be constitutive orsubtractive. Constitutive porosity refers to the self-organizationof a material. After manufacturing, such amaterial is porous without any additional treatment.Constitutive porosity is relatively low (usually less than 15%)and pore sizes are ~ 1 nm in diameter. According toInternational Union of Pure and Applied Chemistry (IUPAC)classification[9], pores less than 2 nm are denoted ‘micropores’.Subtractive porosity involves selective removal of part ofthe material. This can be achieved via an artificially addedingredient (e.g. a thermally degradable substance called a‘porogen’, which is removed by annealing to leave behindpores) or by selective etching (e.g. Si-O bonds in SiOCHmaterials removed by HF).

Advertisement

5. Utilization of low dielectric materials in microelectronics

A particularly difficult challenge for low dielectric materials development has been to obtain the combination of low dielectric constant and good thermal and mechanical stability. Generally, the types of chemical structures that imbue structural stability are those having strong individual bonds and a high density of such bonds. However, the strongest bonds often are the most polarizable, and increasing the bond density gives a similar increase in polarization. For example, the rigidity and thermal stability of SiO2 is in part due to the dense (2.2–2.4 g/cc) chemical network. Unfortunately, the high bond and material density in SiO2 lead to a large atomic polarizability, and therefore a high dielectric constant. Organic polymeric materials often have a lower dielectric constant due to the lower material density (<1.0 g/cc) and lower individual bond polarizabilities.

In this part, the relationship between molecular structure and low dielectric properties is discussed with consideration of factors such chemical bond, density, and polarizability.

5.1. Linear structure

Linear structured materials have been actively researched for various microelectronic applications. In the early stages of microelectronics development, IBM implemented a polyimide-based material in microchips based on its good thermal, mechanical, chemical, and electrical properties. However, as required properties have become stricter because of narrowing interconnect line distance, polyimide-based materials have been unable to satisfy device performance with the main reason due to its high water absorption. Despite its superior properties, it became apparent that a linear polymeric structure was unfeasible for application as more high performance devices were being demanded.

However, linear polymeric structures have given polymer scientists invaluable clues into the possible molecular content of low dielectric materials. According to the definition of a dielectric, the material density has a direct relationship with respect to its dielectric constant. Linear polymersoccupy a free volume, derived from large steric hindrance comparedto single small molecules. For this reason, linear structured materials such as organic polymers, polyethylene and polypropylene show quite low density (0.8~0.9), and thus low dielectric value (2.1~2.6). Unfortunately, these organic polymers suffer fromcritical disadvantages such as thermal instability such as low glass transition temperature and low degradation temperature.

Therefore, many scientists turned to polymeric materials having an aromaticmoiety. This chemical structure showed enhanced thermal properties and was expected to have a low density due its rigid molecular structure. The high polarizability of these materials due to their relatively high dipole moment was expected to compensate for the inherently large free volume. Some of the various aromatic, linear polymers are outlined below.

5.1.1. Polyimides (PIs)

Excellent thermomechanical propertiescan be obtained byincorporating a very stiff polymer. The classicexample of a stiff polymer chain is aromatic polyimides, whichhave a rigid backbone due to the many aryl and imide rings along the chain. These structural characteristics give rise to excellent mechanical and thermal properties in the form high modulus (8–10 GPa) and high Tg (350 to 400oC)[10]. However,the rigid chain structure causes the PI chains to align preferentially parallel to thesubstrate, especially when deposited as thin films, which results in anisotropic properties[11-18]. For example, while the out-of-plane k valueof BPDA-PDAis 3.1, the more important in-plane value is >3.5 [14].

The thermomechanical properties are likewise anisotropic. For instance, theCTE of thin films of rigid PIs is often <10 ppm/oC in the plane of the film,but can be more than ten times larger in the out-of-plane direction [14]. Anotherdrawback to PIs is that they absorb water effectively owing to the carbonylgroups, which raises the dielectric constant further. The release of thiswater duringprocessing can cause blistering of overlying layers [19].

Some of the drawbacks mentioned above can be ameliorated by tailoring thechemical structure of the PI. The k value and water adsorption can be lowered byincorporating fluorine into the material, while the anisotropy can be reduced byintroducing single bonds between rings, making the chain less rigid. For example,PMDA-TFMOB-6FDA-PDA, which utilizes both of these design strategies, hasan out-of-plane k=2.64 [20] and absorbs less moisture than unfluorinated PIs suchas BPDA-PDA [10]. However, the in-plane k value is still >3.0, and the wateruptake, although reduced, is significant enough to cause blistering in overlyinglayers during integration [19].

5.1.2. Poly (aryl ethers) (PAE)

The utilization of spin-on PAE materials results from attempts to balance the dielectric and thermomechanicalproperties. The aryl rings in these materials providebetter thermomechanical properties than do PIs, but the flexible aryl linkagesallow bending of the chains, which results in a more isotropic material than is obtainedfor PIs.

Additionally, the lack of polar groups, such as carbonyl, results ina lower k value and lower water uptake than the PIs. Fluorinated versions of PAEshad a k value of2.4 [21]. However, because of concerns about fluorine corrosion,the fluorine was removed from later versions of the material. The nonfluorinatedPAEs typically have a k of 2.8–2.9, whereas typical values for the modulus andCTE are 2.0 GPa and 50-60 ppm/oC, respectively. Resistance to thermal decompositioncan be quite good for PAEs as weight losses of only <2%over 8 h at 425oChave been reported.One drawback of uncrosslinked PAEs is that they have a relatively low Tg of<275oC, which is lower than many of the thermal treatment temperatures of microelectronic devices.

5.1.3 Polynorbornene

Polynorbornene [22] is a pure hydrocarbon polymer without anypolar or polarizable groups. Known for their high thermal stability among organic polymers (Tg ~365oC)and low dielectric constant [23] (~2.2), polynorbornenes are soluble in common organic solvents despite its rigid backbond due to the randomly coiled nature of the polymerchains and lack of polar interactions.

This combination of properties makes polynorbornene an interesting candidate for ILD/IMD use. However, polynorbornenesexhibit insufficientadhesion to substrates with polar surfaces such as Si, oxidesor metals and its rigid backbone results in a rather brittlematerial. To overcome these shortcomings, a copolymer withalkoxysilyl and aikyi side groups at the norbornane rings inthe backbone of polynorbornene was developed byBFGoodrich (Avatre[). [23,24] The alkoxysilyl groups enhanceadhesion to surfaces with hydroxyl groups and increase therelative mobility of the polymer chains, and hence theelongation at break of thin films [23]. However, the dielectricconstant increases from 2.2 of the unsubstitituted polymer to2.67 with an aikoxysilyl content of 20% [23]. Copolymers fromalkoxysilyl norbornene and alkylnorbornene derivatives showimproved elongation-at-break and reduced dielectricconstant [23] of E = 2.56. The glass transition temperatures andthermal stabilities of these materials are reduced comparedto non-functionalized polynorbornene [25,26]

5.1.4. Polytetrafluoroethylene (PTFE)

Proposals to use fluorinated organic materials like PTFE are aimed toward minimizingthe dielectric constant using the bonds of lowest polarizability. PTFE, which consists of singly bonded carbon chains saturated withfluorine atoms, has one of the lowest k values (<1.9) of any nonporous material,and is normally deposited by spin-on films [27]. One drawback of PTFE is that the flexible and uncrosslinked chain structure limits the thermomechanical stabilityof the material. For example, one PTFE material evaluated in our laboratory wasfound to have a low yield stress (12 MPa), low elastic modulus (0.5 GPa), lowsoftening temperature (<250oC), and high thermal expansion coefficient (CTE)(>100 ppm/oC). Together these factors can cause buckling or wrinkling of thefilm during process integration. A second issue for PTFE, which is a concern forall fluorine-containing materials, is the potential release of fluorine atoms that cancause corrosion of metals or other reliability problems in the interconnect structure.

5.1.5. Polysilsesquioxane

While research of linear, rigid, organic polymers have centered on lowering the density and enhancing thermal and mechanical properties [28,29], many of these materials tend to have elevated dielectric constants and decreased processability because the main frame of these polymers are composed of aromatics, double, and triple bonds, which can be readily polarized or have weak thermal stability.

Polysilsesquioxanes (RSiO3/2)n comprise a class of polymers that exhibit unique physical properties different from those of purely organic or inorganic compounds [30]. The various structures of polysilsesquioxanes, including ladder-like polysilsesquioxanes with double stranded backbones, cage-type polyhedral oligomeric silsesquioxanes (POSS), and sol-gel processed random branched structures have shown many inherent advantageous properties such as high thermal stability, low dielectric constant, good mechanical properties, and chemical resistance. Such properties have made polysilsesquioxanes a material of interest for polymer and ceramic scientists in the microelectronics industry.

In particular, polymethylsilsesquioxanes are of particular interest, as these materials exhibit low loss at high temperatures exceeding 500oC. However, to approach the favorable properties of polysilsesquioxanes in a reproducible manner, a regular structure with high molecular weight, such as ladder-structures, is to be favorable. This rigid ladder backbone with high molecular weight would support lower dielectric constants stemming from increase of inter-molecular space and high mechanical strength, as well as minimizing shrinkage during the ILD process. This material had 6.3 GPa of modulus and 2.7 of dielectric constant without curing process. [31]

5.2. Branched structures

In polymer chemistry, polymer branching induces a lower profile of material density without significant changes of in chemical properties. Because of this, many researchershave investigated polymer geometries such as graft and hyper branched structure for application as low dielectric material.

Branched polymers are advantageous in that through chemical modification of the side or end groups can give unique functionality that could not be realized by composites of two or three different materials. Also, the chemical bonding between the two components may offset one or more deficient property of the singular component.

5.2.1. Graft Polymers

Graft copolymers are a special type of branched copolymer in which the side chains are structurally distinct from the main chain. The Figure (3) depicts a special case where the main chain and side chains are composed of distinct homopolymers.

Figure 3.

Special cases of grafted polymer

Kung-Hwa wei et al. reported a polyimide grafted polyhedral oligomeric silsesquioxane (POSS). They presented the dielectric constants and densitiesof the POSS/polyimide nanocomposites (figure 4). The dielectricconstants of the POSS/polyimide nanocomposites decreased as the amount of POSS increased. The maximumreduction in the dielectric constant of POSS/polyimidenanocomposites was found to be about 29%, compared to 16 mol%POSS/polyimide to pure polyimide (k) 2.32 vs 3.26. However, these graft polymers exhibited a slightly lower glass transition temperature about 40oC and increased thermal expansion efficient (CTE) from 31.9 ppm/K to 57.1 ppm/K after the grafting of POSS. [32]

Figure 4.

POSS/polyimide nanocomposites by grafted method

Another researcher studied about polyimide with grafted POSS structure. By introducing a polymerizable methyl methacryl functional groups to POSS and subsequent free-radical graft polymerization to an ozone treated polyimide, POSS grafted polyimide structures were obtained. Copolymerswith dielectric constants approaching 2.2could be achieved in the PI-g-PMA-POSS film containing23.5 mol % MA-POSS. In this approach, POSS content could be easily tuned by the grafting ratio of MA-POSS.[33]

Figure 5.

Synthesis of PI-g-PMA-POSS for low dielectrics

5.2.2. Hyperbranched Polymer

Hyperbranched polymersare denselybranched structures with large number of reactive groups. They are polymerized from monomers with mixed reactivities, commonly denoted A2B or A3Bmonomers, thus giving branched structures with exponential growth, in both end-groupfunctionalities and molecular weights.

One property often mentioned of hyperbranched polymers is the non-Newtonian relationship between viscosities and molecularweight, where hyperbranched polymers showedlow viscosities at high molecularweights. For coating applications, this should be highly interesting in terms of microelectronics, where they may be used as an aid in critical patterning applications for back-end-of-line (BEOL) inter-level dielectric (ILD) materials.

Jitendra et al. showed that dense hyperbranchedcarbosiloxane (HBCSO) thin films have better mechanicalproperties than traditional organosilicates.[34] These materialsare obtained by sol-gel processing of methane-bridgedhyperbranched polycarbosilanes (HBPCSs), with the incorporatedmethane bridges being reminiscent of the systemsdescribed above (Figure 6). For example, Young’s moduliof 17-22 GPa are obtained for films with dielectric constantsranging from 2.6 to 3.1. These materials have excellentelectrical properties, breakdown voltages higher than 5 MV/cm, and leakage currents <10-8 A/cm2 measured at 2 MV.

It was also shown that the HBPCS structure is of considerableimportance in determining the properties of the thin filmsgenerated after sol-gel processing.

Figure 6.

Chemical repeat units found in HBPCS precursors.

5.3. Network structure of low dielectric materials

In defining the different types of network structure materials for low dielectric constant applications in microelectronics, two classifications may exist. One is organic networks based on elemental carbon including amorphous carbon (diamond-like-carbon(DLC)) [35,36] and interpenetrating polymer network (IPN). The other is inorganic networks based on silicon oxide bonds such as amorphous SiO2 and mesoporous crystalline silicon oxide.

Intuitively,network structures have excellent thermal stabilities and mechanical properties, and chemical resistance, but have relatively high density which is a factor in elevated dielectric constants. Because of this, dielectric constant and mechanical properties should be carefully controlled and careful consideration of its crystallinestructure, as crystalline structures tend to be easily polarized.

5.3.1. Organic Network

When compared with linear structured polymers, network polymers have significant advantages in thermal stability with increasing glass transition temperature and complying CTEs. Dielectric materials for microelectronics needed to have high Tg temperature up to 400oC and endurance of repeated thermal cycling, creating the thermal mismatches which can leadto flow, delamination, adhesive failure, etc. Examples or organic network materials are discussed below.

5.3.1.1. Diamond-like carbon [DLC]

Amorphous diamond-like carbon[DLC], which can be prepared by chemical vapor deposition [CVD] method, [35,36] are metastable materials composedof sp2, sp3, and even sp1 hybridized carbon atoms withhydrogen concentrations, CH, ranging from 1% to 50%, withthe composition being primarily determined by the natureof the precursor and the corresponding deposition conditions.

These sp3 bonds can occur not only with crystals - in other words, in solids with long-range order - but also in amorphous solids where the atoms are in a random arrangement. In this case, there will only be bonding between a few individual atoms and not in a long-range order extending over a large number of atoms. The bond types have a considerable influence on the material properties of amorphous carbon films. If the sp2 type is predominant the film will be softer, if the sp3 type is predominant the film will be harder.

Under the right conditions, it is possible to deposit DLCfilms with compressive stress, spanning values from 200-800MPa, and dielectric constants approaching 2.7.[36, 37]

5.3.1.2. SiLK and BCB resins

A very promising class of networkpolymers has been developed byDow under the name 'Silk’. The formulations presumablyconsist of a mixture of monomeric and/or oligomericaromatic starting compounds, which contain ortho-bisethinylor -phenylethinyl groups [38]. The materials exhibit k values on the order of 2.6-2.7, with decomposition temperatures in excessof 500°C, no softening up to 490°C, good gap fill propertiesdown to below 0.1 um, a maximum water uptake of 0.25%,and a coefficient of thermal expansion 4s of 66 ppm/K.

Benzocyclobutene (BCB) resins were developed by Dow inthe 1980’s [39], with a silicon-containing derivative formicroelectronics applications, and are commercially availableunder the name 'Cyclotene'. BCB resins with imide structurescan be extremely tough, and the dielectric constant of curedfilms from this monomer is 2.6-2.7, with thermal stability upto 375oC and water adsorption of only 0.2% [40,42].

5.3.2. Inorganic network

Inorganic networks mainly consist of ceramics or amorphous silica. In various materials for microelectronics, the silicon oxides play a major role due to its low polarizability, superior thermal and mechanical properties. In addition, tunable microspores can be made to reduce the dielectric constant through control of microstructure under special conditions.

5.3.2.1. Ordered Mesoporous Materials

Microporous zeolite thin films were first investigated by Yan and co-workers.[43] These films offer good thermal stability(i.e. no pore collapse or unidirectionalshrinkage) and inter-particle mechanicalstrength. They can be prepared via a simplespin-on method [43,44] or by in situ growth. [44,45] With simple spin-on methods a dispersionof small zeolite particles are prepared andcast onto a surface. The porosity originates fromthe interparticle porosity within the zeolitenanoparticles and the intra-particle porosityowing to the packing of the near-sphericalnanoparticles in thin film format.

5.3.2.2. Network polysilsesquioxane

Some of the most promising materials for dielectric materials are poly(silsesquioxanes). Mostcommon are polymethylsilsesquioxane (MSQ), e.g. AccuspinT-18 from Allied Signal [46], or poly(hydridosiLsesquioxane)(HSQ), e.g. FOx from Dow Corning [47]. Synthesis of these silsesquioxanes(MSQ and HSQ) have traditionally been through the sol-gel method, as its utility in being able to obtain highly cross-linked structures through acidic and or basic conditions has been well documented [48,49,50].Dielectric constant values of around 2.6can be achieved for HSQ and MSQ. But while MSQ exhibitsthis dielectric constant after curing at temperatures up to450°C, HSQ must be cured at temperatures lower than210°C [51]. Curing of HSQ at temperatures of 250°C or aboveresults in dielectric constant around 3 or even higher 32°[51,52]. Gap fill and planarization properties are also acceptable and because of their chemical structure, which isclosely related to SiO2,polymethylsilsesquioxanes are also compatiblewith existing lithographic procedures.

Efforts to further decrease the dielectric constant without decreasing mechanical strength, POSS skeletons have been introduced in MSQ. To suppress the phase separation, incompletely condensed methyl functionalized POSS precursors have been used to form chemical bonds with oligomeric sol precursors. These incompletely condensed POSS moieties functioned as coupling agents while expanding the free volume of the final sol after curing which was accomplished to 4 GPa of modulus and 2.3 of dielectric constant. [53](figure 7).

Figure 7.

Introduction of POSS moiety by sol-gel method

5.4. Porous network polymer by subtraction of porogen

Numerous methods of introducing subtractive porosityinto spin-on deposited materials exist.Conventional methods of substractive porosity involve the addition of molecular or supramolecular particles called ‘porogens’withtailored thermal stability to the dielectric precursor[54]. The stability of these particles issuch that they are not affected by the coating drying step, andthey are removed by pyrolysis during final film sintering orcure at temperatures typically in the range from 300 to400 °C. An example of amaterial for which the pore size and porosity, or the pore sizeand porogen load can be controlled independently. [55]However, it should be noted that the use of porogens should only be applied to dense materials having a k less than 2.5 and modulus over 5 GPa in order for the final material to satisfy the required mechanical property.

In organic materials, the SilK matrix has been the only known material to provide the thermal and mechanical properties at temperature up to 500oC for use in combination with porogens. C.E. Mohler et al. [56] reportedon porous SiLK dielectric film properties such as pore volume, porosity, size distribution, andshowed a 2.2 dielectric constant at 30% load of porogens.

In comparison with organic porous dielectric material, inorganic porous dielectric materials have been more rigorously investigated because of their superior mechanical properties. Representative studies have used polymethylsilsesquioxane (PMSQ) as matrix for the addition of various porogens such as the block copolymers, poly(styrerene-block-acrylic acid)[57], macromolecules of cyclodextrin[58], poly(caprolactone[59], and calix[4]arene[60].

Many of these studies with porogens have reported materials that have excellent mechanical and electrical properties, but lack in other practical aspects for application in microelectronics. When porogens are introduced into a matrix, critical problems may occur, such as thermal degradation products acting as a poison or contaminant within the matrix or interfacial adhesion problems. Therefore, use of porogens has yet to remain a difficult process for practical applications in microelectronics.

Advertisement

6. Conclusions

The search for materials with low dielectric constant in the microelectronics industry has and will continue feverishly into the future as the demand of faster processing speeds increases. Reduction of the dielectric constant of a material can beaccomplished by selecting chemical bonds with lowpolarizability and introducing porosity. Integration of suchmaterials into microelectronic circuits, however, poses anumber of challenges, as the materials must meet strictrequirements in terms of properties and reliability.The introduction of low-k materials in microelectronicsresearch and development is a good example of howindustrial needs drive new fundamental and applied researchtopics in science. Examples include pore structurecharacterization, deposition of thin films on poroussubstrates, mechanical properties of porous films, andconduction mechanisms in these materials.The substantial efforts made by materials and ICresearchers to integrate the low-k films and continuehistorical device performance improvements have contributedto, and are still leading to, innovative fundamental andapplied science.

Advertisement

Acknowledgement

This work was financially supported by a grant from the Fundamental R&D Program for Core Technology of Materials funded by the Ministry of Knowledge Economy, Republic of Korea and Partially by a grant from Center for materials architecturing of Korea Institute of Science and Technology (KIST)

References

  1. 1. RayG. W.1998Mater. Res. Soc. Symp. Proc.511:199
  2. 2. FoxR.PellerinJ. R.1997Res. Rep. Austin TX: SEMATECH
  3. 3. HummelJ. P.1995In Advanced Multilevel Metallization Materials Properties Issuesfor Copper Integration, ed. CS Schuckert,6:547.Wilmington, DE: DuPont Symp.Polyimides in Microelectronics
  4. 4. HoP. S.KwokT.1989Rep. Prog. Phys.52:301
  5. 5. Hu-KC.RodbellK. P.SullivanT. D.LeeK. Y.BouldinD. P.1995IBM J. Res. Dev. 39:465
  6. 6. WilsonS. R.TracyC. J.eds1993Handbook of Multilevel Metallization for Integrated Circuits. Park Ridge, NJ: Noyes
  7. 7. MillerK. J.HollingerH. B.GrebowiczJ.WunderlichB.1990Macromolecules 23:3855
  8. 8. PineS. H.1987Organic Chemistry. New York: McGraw-Hill. 5th ed.
  9. 9. RouquerolJ.et al.PureAppl.Chem1994
  10. 10. AumanB. C.1995Mater. Res. Soc. Symp.Proc. San Francisco. 381:19
  11. 11. Kang-SY.1994Microstructure and strengthening mechanisms in aluminum thin films on polyimide film. Ms thesis. Univ. Texas, Austin
  12. 12. Lee-KJ.1998Structure-property correlation of polyimide thin films on line structure. PhD thesis. Univ. Texas, Austin
  13. 13. MolisS. E.1989In Polyimides: Materials,Chemistry and Characterization, ed. Amsterdam: Elsevier
  14. 14. ReeM.ChenK. J.KirbyD. P.199ApplJ.Appl.Phys. 72:2014
  15. 15. ChenS. T.WagnerH. H.1993J. Electron.Mater. 22:797
  16. 16. LinL.BastrupS. A.1994J. Appl. PolymerSci. E 54:553
  17. 17. 1992 JBoeseD.LeeH.YoonD. Y.RaboltJ. F.19PolymerJ.SciPolymer.Phys. 30:1321
  18. 18. HardakerS. S.MoghazyS.ChaC. Y.SamuelsR. J.199PolymerJ.SciPolymer.r Phys. 31:1951
  19. 19. WetzelJ. T.LiiY. T.FilipiakS. M.NguyenB. Y.TravisE.O.et al.1995Mater. Res. Soc.Symp. Proc. San Francisco. 381:217
  20. 20. De MaggioG. B.FriezeW. E.GidleyD. W.ZhuM.HristovH. A.YeeA. F.1997Phys.Rev. Lett. 78:1524
  21. 21. HendricksN.H.LauK. S. Y.SmithA. R.WanW. B.1995Mater. Res. Soc. Symp. San Proc. Francisco. 381:59
  22. 22. Heitz, W. 1995. Pure Appl.Chem. 67:1951
  23. 23. GroveN. R.et al 1997Mater Res Soc Symp Proc 476:3
  24. 24. GroveN. R.et al.1997Proceedings of the 6th International Conference on Multichip Modules, Institute of Electrical and Etecronics Engineers, New York
  25. 25. TreicheL. H.et al.1999Low dielectric constant materiaLs for interlayer dielectrics. In: Nalwa, H.S. (Ed.) Low-k and high-k materials, Academic Press,Boston
  26. 26. GroveN.et al.1997Proceedings of the 6th International Conference on Polyimides and other Low-k Dielectrics, McAfee, N J
  27. 27. RosenmayerC. T.BartzJ. W.HammesJ.1997Mater. Res. Soc. Symp. Proc. San Francisco. 476:231
  28. 28. Voit,B.2000Journal of Polymer Science Part a-Polymer Chemistry, 38:2505
  29. 29. MathewsA. S.KimI.HaC. S.2007Macromolecular Research, 15:114
  30. 30. BaneyR. H.ItohM.SakakibaraA.SuzukitT.1995Chem. Rev. 95:1409
  31. 31. Hwang, S.S., et al. Macromolecular Research, in press
  32. 32. Leu-MC.Chang-TY.Wei-HK.2003Macromolecules, 36: 9122
  33. 33. ChenY.ChenL.NieH.KangE. T.200Journal of Applied Polymer Science, 99:2226
  34. 34. RathoreJ. S.InterranteL. V.DuboisG.2008AdV. Funct. Mater. 18: 4022.
  35. 35. GrillA.In Dielectric Films for AdVanced Microelectronics; Baklanov, M., Maex, K., Green, M., Eds.; Wiley: New York, 2007
  36. 36. GrillA.PatelV.SaengerK. L.JahnesC.CohenS. A.SchrottA. G.EdelsteinD. C.ParaszczakJ. R.1997Mater. Res. Soc. Symp. Proc., 443:155.
  37. 37. GrillA.2001Diamond Relat. Mater. 10: 234.
  38. 38. BabbD.et elWodd Patent WO 97/10193, The Dow Chemical Company,March 20, 1997
  39. 39. KirchhoffR. A.BruzaK. J.1994Adv Polym Sci 117:1
  40. 40. Yang G.R. etal1997Mater Res Soc Symp Proc 476 :161
  41. 41. GutmannR. J.etal 1995Mater Res Soc Symp Proc 381:177
  42. 42. MilLs. M. E.etal1997Microelectron Engng 33: 327
  43. 43. HuntH. K.LewC. M.SunM.YanY.DavisM. E.2010Micro. And Meso. Mater., 128:12
  44. 44. WangZ.WangH.MitraA.HuangL.YanY.2001Adv. Mater., 13:746.
  45. 45. LiS.DemmelmaierC.ItkisM.LiuZ.HaddonR. C.YanY.2003Chem.Mater., 15:2687.
  46. 46. Hacker, N.P. et al1997Mater Res Soc Symp Proc 476:25
  47. 47. Bremmer, J.N. et al1997Mater Res Soc Symp Proc 476:37
  48. 48. LeeD.et al.2002008Macromolecular Research, 16353359
  49. 49. RankinS. E.et al.2000Macromolecules, 33:7639
  50. 50. Mackenzie,J.D.1988Journal of Non-Crystalline Solids, 100:162
  51. 51. KimS. M.etal1998Mater Res Soc Symp Proc 511:39
  52. 52. TobbenD.et al.1997Mater Res Soc Symp Proc 443:195
  53. 53. e. A. S.LeeH. S.HwangS.S.preparing to publish
  54. 54. LuY. F. G.CaoZ.KaleR. P.PrabakarS.LopezG. P.BrinkerC. J.1999Chem. Mater. 11:1223
  55. 55. Baklanov, M. R. et al., Proceedings of the Advanced Metallization Conference (Materials Research Society, Pittsburgh, PA, 2002)
  56. 56. MohlerE. B.LandesG.MeyersF.KernB. J.OuelletteK. B.etal.2003AIP Conf. Proc. 683:562
  57. 57. ChangY.Chen-YC.Chen-CW.2004Journal of Polymer Science: Part B: Polymer Physics, 42:4466
  58. 58. Lyu-YY.Yim-HJ.ByunY.KimJ. M.Jeon-KJ.2006Thin Solid Films 496:526
  59. 59. Hyeon-LeeJ.LyuY. Y.LeeM. S.Hahn-HJ.RheeJ. H.MahS. K.Yim-HJ.KimS. Y.2004Macromol. Mater. Eng., 289:164
  60. 60. ValleryR. S.LiuM.GidleyD. W.Yim-HJ.2011Microporous and Mesoporous Materials 143:419

Written By

He Seung Lee, Albert. S. Lee, Kyung-Youl Baek and Seung Sang Hwang

Submitted: 17 November 2011 Published: 03 October 2012