Open access peer-reviewed chapter

Plasma Processing for Tailoring the Surface Properties of Polymers

Written By

Hisham M. Abourayana and Denis P. Dowling

Submitted: 14 October 2014 Reviewed: 28 May 2015 Published: 16 December 2015

DOI: 10.5772/60927

From the Edited Volume

Surface Energy

Edited by Mahmood Aliofkhazraei

Chapter metrics overview

3,895 Chapter Downloads

View Full Metrics

Abstract

This chapter details how plasma treatments can be used to tailor the wettability of polymers. A plasma is an excited gas, and exposure of a polymer to a plasma discharge generally results in an enhancement in surface energy and associated with this is an increase in wettability. The effect however can be short lived due to hydrophobic recovery. In this review the use of both low and atmospheric plasmas for the activation of polymers will be discussed, as will the use of these plasmas for the deposition of plasma polymerised coatings. The latter can be used to produce polymer surfaces with tailored functionalities, thus achieving stable water contact angles ranging from superhydrophilic to superhydrophobic, as required.

Keywords

  • Plasma Treatments
  • Polymers
  • Water Contact Angle
  • Surface Activation
  • PECVD

1. Introduction

In the mid-nineteenth century, the Czech physiologist Jan Evangelista Purkinje introduced the use of the Greek word plasma (meaning to be formed or moulded), to refer to the remains of corpuscular material in blood [1, 2]. In 1928 the American scientist Irving Langmuir proposed that electrons, ions and neutral species in an ionized gas are similar in arrangement to the corpuscular material in blood [2]. This gave rise to the use of the word plasma in physics. Plasmas are ionised gases, which consist of positive and negative ions, atoms and electrons, as well as neutral species [3].

Plasmas can be divided into either thermal or non-thermal [4], the thermal plasmas being characterised by high levels of ionisation [5]. Thermal plasmas are associated with joule heating and thermal ionisation, which enables the delivery of high power at high operating pressures [6]. They heat the entire gas stream during operation. Typical examples of thermal plasma sources include plasma torches, plasma spray and arc jets [7]. Non-thermal plasmas, which are often referred to as ‘cold’ plasmas or non-equilibrium plasmas are produced near room temperature or a little above this temperature. For these plasmas, electrons acquire higher energies than heavy particles (ions and molecules)—their energies ranging from 0.1 eV to some electron volts. Due to the low density of the gas, collisions with the other species are relatively rare and thermal equilibrium is not reached: the bulk temperature of the gas is comparable to room temperature [8]. Non-thermal plasmas are therefore applied for the treatment of polymers, and their use for controlling polymer wettability is the focus of this chapter.

A number of surface treatments have been applied to modify polymer surfaces in order to enhance properties such as adhesion, wettability and printability. Amongst these are mechanical or chemical treatments as well as exposure to flames, photons, ion beams and other types of radiation [9]. Mechanical treatment alone has limited effectiveness and due to health and environmental concerns the use of chemical treatments with solvents, oxidants such as chromates and permanganates, strong acids or bases, and sodium-liquid ammonia treatments for fluoropolymers are becoming increasingly unacceptable [10]. Furthermore, wet chemical treatments often give rise to problems of uniformity and reproducibility.

Amongst a range of different methods used to modifying polymer surfaces to improve wettability and adhesion, plasma treatment has proved to be one of the most effective, ensuring uniformity, besides being an environment-clean processing technology [11, 12]. Examples of some of these plasma treatment publications, carried out at both low and at atmospheric pressure, are given in Table 1.

Paper Title Year Ref.
Low pressure plasma
Surface modification of low density polyethylene (LDPE) film by low pressure O2 plasma treatment 2006 [13]
Influence of oxygen and nitrogen plasma treatment on polyethylene terephthalate (PET) polymers 2010 [14]
N2, N2-Ar and N2–He DC plasmas for the improvement of polymethylmethacrylate surface wettability 2012 [15]
Improved adhesion of LDPE films to polyolefin foams for automotive industry using low-pressure plasma 2008 [16]
Investigation of antibacterial and wettability behaviors of plasma-modified PMMA films for application in ophthalmology 2014 [17]
Surface characterization of plasma treated polymers for applications as biocompatible carriers 2013 [18]
Surface characteristic of poly (p-phenyleneterephthalamide) fibers with oxygen plasma treatment 2008 [19]
Atmospheric pressure plasma
Extreme durability of wettability changes on polyolefin surfaces by atmospheric pressure plasma torch 2010 [20]
Treatment of PET and PU polymers by atmospheric pressure plasma generated in dielectric barrier discharge in air 2010 [21]
Surface modification of polycarbonate by atmospheric-pressure plasma jets 2012 [22]
Atmospheric plasma torch treatment of polyethylene/boron composites: effect on thermal stability 2014 [23]
Effect of atmospheric pressure plasma treatment on wettability and dryability of synthetic textile fibres 2013 [24]
Atmospheric pressure plasma treatment of amorphous polyethylene terephthalate for enhanced heatsealing properties 2012 [25]
Effect of surface wettability and topography on the adhesion of osteosarcoma cells on plasma modified polystyrene 2011 [26]

Table 1.

Overview providing examples of previous studies on the use of both low and atmospheric plasmas for tailoring polymer surface properties (particularly wettability)

1.1. Flame and corona treatment

As outlined earlier, the plasma processing of polymers generally involves technological plasmas, operating in the non-thermal regime. One type of thermal plasma that is routinely used to tailor polymer surfaces is a flame plasma [27]. These are formed by combining a flammable gas with air. Brief exposures to particles within the flame affect the distribution and density of electrons on the substrate and surface molecules are polarised through oxidation [28]. The high flame temperature (1000-2000 °C) and reaction with excited species in the flame lead to an increased oxygen concentration at the treated surface [29]. These ‘hot’ plasmas can interact with the polymer surface for some milliseconds, without thermally changing the substrate [8]. Flame treatment has been used in the polymer industry for over 40 years with considerable commercial success, particularly in the automotive industry for improving the bonding of adhesives and dyes to car panels and plastic containers [30].

Non-thermal plasmas can be generated at various operating pressures ranging from low to atmospheric pressure [31]. An example of non-thermal plasma used for surface treatment of polymers is corona discharge [32]. This a non-arcing, non-uniform plasma that ignites the region of the high electric field generated by the sharp points of the electrodes [33]. In order to prevent arcing, grounded surfaces cannot be near these field emission points, as a result the discharge is, by nature, non-uniform: plasma density drops off rapidly with increasing distance from the electrode [33]. In a similar mechanism to flame treatments, a corona treatment causes surface oxidation of polymers. Electrons, ions, excited species and photons, present in the discharge, react with the polymer surface to form radicals. These can in turn react rapidly with atmospheric oxygen [34]. The attraction of using flame treatment as opposed to corona discharge treatment rests with the ease with which non-uniform shapes can be treated and the perceived longevity of the treatment conferred in the flaming process. Indeed flame treatment is reported to provide better stability than corona treatment [35]. Amongst the advantages of corona and flame treatments are that these two processes can be used in continuous operation, and that they use relatively simple and cost effective equipment. The disadvantages of these treatments are that they are carried out in the open air, which often makes it difficult to control the uniformity or chemical nature of the modification, due to variations in ambient conditions such as humidity, contaminations and air pressure or temperature [36]. Examples of corona treatment and the glow formed using flame are shown in Figure 1.

Figure 1.

Example of corona treatment (left) [37] and the glow formed using flame treatment (right) [38]

1.2. Low pressure and atmospheric pressure plasmas

Low pressure plasma treatment is used widely in material processing. For example for plasma etching in the semiconductors industry [39] as well as the deposition of coatings such as diamond like carbon (DLC) for tribological applications [40]. For polymer processing low pressure plasmas are used in applications ranging from achieving enhanced adhesion, for contaminant removal, and for coating deposition, i.e. in the medical device sector [12, 15, 41]. These plasmas however have several disadvantages including the requirement of vacuum processing equipment as well as limitations on the size of parts that can be placed into a vacuum chamber [42].

Plasmas can be generated by a number of discharge types including direct-current (DC) discharges, low-frequency discharges (e.g. corona treatment; kHz range), radio-frequency (rf) discharges (MHz range), and microwave discharges (GHz range) [43]. A schematic of a typical low pressure rf plasma system used for polymer treatments is given in Figure 2. This consists of a biased platin on which the polymer to be treated is located. The discharge can be monitored using optical emission spectroscopy to identify the active gaseous species.

Figure 2.

Schematic of a capacitive coupled rf reactor. Note the window for optical emission spectroscopy (OES) examination of the plasma

In contrast to the use of low pressure discharges the use of atmospheric plasmas offer a considerable level of flexibility. These sources typically generate plasmas at high frequencies (>1 kHz). This facilitates the formation of a homogeneous glow discharge via a Penning ionisation mechanism [44]. The homogeneity of the grounded discharges makes them ideal for surface treatments such as wettability enhancement, metal reduction, surface fluorination and film deposition. They can be applied as a continuous and cost-effective process [42]. Compared with corona plasmas the density of the atmospheric plasma is higher which enhances the rate and degree to which the ionised molecules are incorporated onto the polymer surface. An increased rate of ion bombardment occurs, which may result in stronger material bonding. Atmospheric plasma treatment technology also eliminates a possibility of treatment on a material's non-treated side, also known as backside treatment [17].

One widely used atmospheric pressure plasma source is the jet design. It typically consists of two concentric electrodes through which a mixture of helium, oxygen or other gases flow [45]. The discharge is ignited and operates on a feed stock gas, which flows between an outer grounded, cylindrical electrode and a central electrode, and produces a high velocity effluent stream of highly reactive chemical species. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast flowing effluent still contains neutral metastable species and radicals [45]. A schematic and photograph of an APP jet system operating at approx. 22 kHz is shown in Figure 3 [46].

Figure 3.

Schematic (left) and photograph (right) of the Plasma Treat APP jet [46]

Advertisement

2. Plasma treatment of polymers

Reviews on the treatment of polymers using low and atmospheric pressure plasmas have been reported previously by a number of authors [47, 48]. These studies demonstrate that plasmas have been used extensively, both to activate polymers and deposit plasma polymerised coatings. Plasma treatment has become an important industrial process for modifying polymer surfaces properties such as adhesion, friction, penetrability, wettability, dyeability and biocompatibility [49]. Plasma processing presents some major advantages: it is a dry, clean, and very fast process, having a very low specific consumption of chemicals and energy, while it affects only the surface and not the bulk material [50]. The surface modification techniques of polymer materials can be divided into three categories: (i) cleaning or etching by removal of material from the surface (ii) surface reactions producing functional groups and cross-linking and (iii) deposition of thin films on the surface [51].

2.1. Plasma etching

Plasma etching involves the removal of materials from a polymer surface by chemical reactions and physical etching at the surface to form volatile products [52]. Plasma etching is a particularly important processing technology in the fabrication of semiconductor devices, for example for the removal of silicon [3]. In the case of polymeric substrates the energy used is lower and it normally involves the removal of organic contaminants from the polymer surface. This plasma etching can proceed through three different pathways [53]. Firstly, a polymer substrate is etched by chemical reaction of reactive plasma species (e.g. radicals, ions) with the surface, referred to as chemical etching. Secondly, ion bombardment of a polymer surface causes sputtering of the surface, which is a physical process. Finally, UV radiation from the plasma phase causes dissociation of chemical bonds, which leads to formation of low molecular weight (LMW) material. In general, these three etching mechanisms occur simultaneously during the plasma treatment of a polymer and induce a flow of volatile (LMW) products from the substrate to the plasma. This causes a gradual weight loss of the treated polymeric material. As a result of their exposure to a plasma of sufficiently high plasma power, the top layer on the polymer can be ablated. Chain-scission of the macromolecules is reported to be the main mechanism for this ablation process [54]. Even after short plasma exposure time ablation can occur, which also alters the surface topography resulting in an enhancement in wettability without modifying surface texture, but over-treatment can yield a very porous surface. Parameters that influence the effectiveness of this etching process are the type of polymer being treated, the applied power and the type of gas discharge formed. These processing parameters are considered individually as follows.

Effect of discharge power – The plasma etching rate of a given polymer increases with discharge power [55]. Upon higher energy input, the density of plasma reactive species as well as their acceleration towards the substrate will increase, resulting in more severe etching.

Effect of polymer type – The chemical structure and physical properties, e.g. melting temperature (Tm), glass transition temperature (Tg), crystallinity of polymers, have a major influence on their etching rate [53]. Many studies have been aimed at providing an increased understanding of the relationship between etch resistance and a polymer’s chemical structure [18, 56]. One of the first comprehensive studies in this field was performed by Taylor and Wolf [53], who investigated the oxygen plasma etching behaviour of 40 different polymers. They reported that strong backbone bonds, aromatic and polar functional groups, and metallic atoms decrease etching rates. P. Slepicka et al. [18] studied the effect of argon plasma treatments on the roughness and the rate of etching of the polymers polyethylene terephthalate (PET), high-density polyethylene (HDPE), poly tetrafluoro-ethylene (PTFE) and poly L-lactic acid (PLLA)—the highest level of loss at 73 nm, was observed for the PLLA after a 240 seconds of treatment time. Under the same treatment conditions the thickness loss observed for PTFE was 39 nm and that for PET was 27 nm. Vesel et al. [56] compared the etching rates of different polymers (PMMA, PS, LDPE, HDPE, PVC and PTEF) using an oxygen plasma at a frequency of 27.12 MHz and a power of 200 W. They found that the polymer-etching rate increased linearly with treatment time with individual polymers etching at different rates and no correlation was obtained between the polymer chemical structure and its etching rate. As a general trend polymers with a lower melting temperature exhibit higher etching rates. The measured etching rates were roughly in the following order:

PVC > PMMA > PE > PET > PTFE > PS

A comparison of the physical characteristics of a range of different polymers and their etching rates is shown in Table 2.

Polymer Thickness
(mm)
Density
(g/cm3)
Melting Temp.
°C
Max. working Temp.
°C
Time
when
melting
starts
Etching
rate at
20 s of
Treatment
PVC 0.50 1.40 100 50–75 ~ 30 s 178 nm/s
LDPE 1.00 0.92 110 50–90 ~ 100 s 31 nm/s
HDPE 1.00 0.95 130 55–120 ~ 100 s 34 nm/s
PMMA 0.50 1.19 160 50–90 / 6 nm/s
PS 0.125 1.05 240 50–95 ~ 40 s 13 nm/s
PETA 0.25 1.3-1.6 < 260 115–170 ~ 40 s 27 nm/s
PET B 0.25 1.3-1.6 260 115–170 ~ 100 s 35 nm/s
PTFE 0.20 2.20 327 180–260 / 18 nm/s

Table 2.

Comparison of the physical characteristics of the polymers shown and their etching rates [56]

Effect of plasma gas type – Probably, the most important discharge parameter in polymer etching is the type of plasma gas being used. Amongst those investigated have been oxygen, hydrogen, nitrogen, carbon dioxide, air, water, ammonia, tetrafluoromethane, the noble gases (e.g. helium, neon, argon), or mixtures (e.g. CF4/O2) [53]. Inert gases such as argon (Ar) or helium (He) generally induce relatively low etching rates compared to oxidative and fluorinating plasmas. In general the rate of etching is in the following order:

Ar <CF4<CO2< air <O2.

Oxygen gas plasmas in particular are recognised to be very reactive etchants. Addition of CF4 to an oxygen plasma will further increase the etching rate of a polymer by increasing oxygen atom concentrations relative to those obtained in pure oxygen plasma [53]. Hsu et al. [57] studied the dependence of gas composition to the plasma etching chemistry of a polyphenylene oxide (PPO). They found that the maximum etch rate was obtained at 20% CF4 and did not oincide with a maximum in atomic oxygen concentration. This indicates that the etch mechanism is not totally controlled by atomic oxygen and that atomic fluorine participates in the etching processes.

2.2. Surface reactions

In addition to the removal of material from a polymer surface during plasma treatments, significant chemical changes at the surface can occur. The surface chemistry and structure can be modified by the activated gaseous species [58]. Reactions between gas-phase species and the surface produce functional groups and cross-linking at the surface.

Helium, neon and argon are examples of inert gases that are widely used in plasma treatment. Due to its lower cost, argon is by far the most common inert gas used [52]. One of the consequences of inert gas plasma-irradiation is an effective energy transfer to the solid surface, a large amount of stable free radicals are created, so that even several seconds of plasma irradiation are sufficient to cause changes in the surface without affecting the bulk properties [59]. If a plasma reaction is to be carried out with a high system pressure, but a low reactive gas flow rate, an inert gas can serve as a diluent [60]. The exposure of the polymer to the inert gas plasma is sufficient to abstract hydrogen and to form free radicals at or near the surface. This can then interact to form the cross-linkages and unsaturated groups through chain scission. A further effect of the plasma is the removal of weakly bound low-molecular-weight materials or their conversion into a higher molecular-weight by cross-linking reactions [61]. This treatment has been known as CASING (cross-linking by activated species of inert gases).

It has been reported that [62] for oxygen-containing polymers, the oxygen to carbon ratio decreases during noble gas plasma treatment, probably due to the loss of CO or CO2. This is illustrated for PET, bis-phenol-A-polycarbonate (PC), and PMMA after Ar plasma treatment in Figure 4. The decrease in oxygen was measured by XPS. The rate of oxygen loss for PMMA and PET are nearly identical; however that for PC is much more rapid. This suggests that the carbonate oxygen of the PC exhibits a much more labile chemistry. All three polymer surfaces reach a steady-state value of ~28% oxygen loss, suggesting a steady-state surface composition as typically encountered in etched materials.

Figure 4.

X-ray photoelectron spectroscopy data illustrating the loss in surface oxygen as a function of Ar plasma treatment time for PMMA, PET and PC, treatment time in seconds [62]

Unlike the case of the noble gas, only plasmas with the introduction of small amounts of a reactive gas to the noble gas can result in the formation of reactive functional groups on the polymer surface [62]. An example is shown in Table 3, where in addition to argon, mixtures of 1, 5 and 10% O2 in Ar as well as a pure oxygen plasma were used to modify several polymer surfaces. Significant amounts of oxygen are incorporated in all cases where oxygen is present, although the amount of incorporated oxygen is greatest with the pure O2 plasma. The amount of incorporated oxygen in the surface, was observed to correlate with the concentration of O2 in the plasma gas mixture, with the Ar/10% O2 approaching the value obtained with the pure O2 discharge. This observation is consistent with the improvement in adhesion observed for evaporated metals on polymers treated with Ar/O2 mixtures, as compared to that obtained for an Ar only discharge. It has been reported that the degree of incorporation of new functional groups with reactive/noble gas mixtures may depend on the efficiency of the VUV radiation emitted by the gases [62]. The efficiency approximately follows the sequence

He > Ne > H2> Ar ~ O2~ N2

From this sequence it can be concluded that mixtures of the reactive gases such as O2 with He may be more effective at incorporating oxygen compared to mixtures of reactive gases with Ar.

Plasma gas PE PS PET
Untreated
Ar
Ar/1% O2
Ar/5% O2
Ar/10% O2
O2
0
0
2
5
11
15
0
0
3
7
13
18
28
26
30
32
35
38

Table 3.

Atom percent of oxygen for PE, PS and PET surfaces as determined by XPS after the Ar, O2, and Ar/O2 gas plasma treatments. Note the increase in oxygen with the increase in the content of this gas in the plasma used to treat the individual polymers [62]

The use of inert gas plasma to improve wettability of polymer surface has been widely studied [18, 50] P. Slepicka et al. [18] investigated the surface properties of PET, HDPE, PTFE and PLLA polymers after treatment using DC argon plasma for different treatment times and discharge powers. The effect of the plasma was monitored based on water contact angle as shown in Figure 5. For PTFE the higher the plasma power applied the more pronounced decrease of contact angle was observed. Exposure to plasma leads to a partial defluorination by –C–F bond scission or polymer chain breakage. The –C–F may arise from the ion interaction, which can react with other radical on polymer surface, air oxygen, –C=C– bonds may be created on the plasma activated surface. The PTFE surface exhibits lower free radical count available for reaction with oxygen or nitrogen in comparison to other polymers [18]. PET exhibited a significantly higher decrease of contact angle after plasma treatment compared with PTFE. The decrease in contact angle is connected to changes in surface chemistry and indicates an increase of surface polarity. The interaction of PET with plasma leads to the –C–O– bond breakage in ester groups, resulting in a disruption in the polymer chain. Treatment of HDPE was reported to cause the creation of double bonds in the polymeric layer and forming of oxidised functional groups on the surface. These groups are created by the interaction of activated surface with gases from the atmosphere during the modification or, more often after the procedure [18].

Figure 5.

Dependence of water contact angle on the plasma exposure time for plasma-treated PET, HDPE, PTFE and PLLA. Modified graph from data reported in [18]

Oxygen and oxygen-containing plasmas are probably the most widely used for polymer surface modification [19]. The oxygen plasma can react with the polymers to produce a variety of oxygen functional groups, including C-O, C=O, O-C=O, C-O-O and CO3. In an oxygen plasma, two processes occur simultaneously: etching of the polymer through the reactions of oxygen atoms with the surface carbon atoms, giving volatile reaction products. The other is the formation of oxygen rich functional groups at the polymer surface, obtained by reactions between the active species from the plasma and the surface atoms.

Nitrogen containing plasmas are also widely used to improve wettability, printability, bondability, electrical conductivity and biocompatibility of polymer surfaces [15]. Nitrogen plasmas are characterised not only by the appearance of highly vibrationally excited molecules, but also their molecules that can have a variety of electronically excited states, most of them being metastable, that make the plasma a rich source of excited nitrogen species [15]. As previously reported, changing the plasma gas or gas composition can be a possible option to improve the analytical performance of the glow discharge plasma [63].

Ita Junkar et al. [14] studied the effect of low pressure rf oxygen and nitrogen plasmas on the surface chemistry of polyethylene. They found that new functional groups are formed on the surface after plasma treatment as shown in Table 4. Interestingly, the saturation with nitrogen and oxygen was achieved after 3 seconds of nitrogen plasma treatment, as further treatment had only a minor effect on the chemical composition [14]. In contrast, oxygen plasma treatment showed saturation with oxygen after 30 seconds of treatment.

PET surface Treatment time (s) C (at.%) O (at.%) N (at.%)
Theoretical
Untreated
Nitrogen plasma
Oxygen plasma
-
0
3
30
90
3
30
90
71.4
79.2
63.5
60.2
60.1
62.0
57.8
55.8
28.6
20.8
24.3
26.1
26.2
38.0
42.2
44.2
0
0
12.2
13.7
13.7
-
-
-

Table 4.

Chemical composition of PET surface after treatment in oxygen and nitrogen plasma for the exposure times shown [14]

Ita Junkar et al. [14] also reported that plasma treatment had as expected altered the wettability of PET surface. They found that treating the PET for 3 seconds resulted in a decrease in water contact angle from 72° for the untreated polymer to 24° and 19° for nitrogen and oxygen plasma treatments respectively. Longer treatment times resulted in a further enhancement in wettability, particularly after oxygen plasma treatments, with a contact angle value of approximately 3°, obtained after 90 seconds of treatment.

In addition to the type of gas discharge plasma, amongst the other plasma parameters affecting the wettability of polymer surfaces are treatment time and discharge power [61, 64]. Table 5 summarises the effect of discharge power and treatment time on the wettability of polyethylene (PE) using a microwave electron cyclotron resonance (ECR) plasma. From this table it is clear that the oxygen plasma is more effective than the argon plasma at reducing water contact angle. The authors also noted that both prolonged treatment times, as well as higher microwave power causes a deterioration in the polymer surfaces [61].

Treatment
time (s)
Argon plasma treated
at microwave power
Oxygen plasma treated
At microwave power
100 W 150 W 200 W 100 W 150 W 200 W
0
60
120
180
66
64
62
58
66
57
51
47
0
60
120
180
66
64
62
58
66
57
51
47
0
60
120
180

Table 5.

Water contact angle of PS and PE treated with Ar and O2 plasma [61]

2.2.1. Hydrophobic recovery

Plasma activated polymer surfaces generally undergo a phenomenon known as hydrophobic recovery (aging); this involves a gradual increase in the water contact angle of polymers with time [65]. Several mechanisms for these observed changes in surface properties during hydrophobic recovery have been proposed, including the diffusion and reaction of free radicals, the diffusion or reorientation of polar surface groups toward the bulk and the recontamination of the plasma-cleaned surfaces. A longer and more intense plasma treatment is helpful to stabilise the hydrophilic properties [66]. This aging behaviour is usually strongly affected by environmental conditions. High temperature helps the polymer chain to move freely and accelerate the surface rearrangement. In the case of high humidity, the water molecules are adsorbed on the hydrophilic surface and these water molecules disturb the rotation or diffusion of polar groups [67].

An example of hydrophobic recovery is shown in Figure 6 [46]. In this case involving the air plasma treatment of polystyrene, almost complete hydrophobic recovery occurs one day after polymer activation. This figure demonstrates the effect of varying the pulsed plasma cycling time (PCT) on the water contact angle of polystyrene (PS) polymer. The PCT term determines the effective duty cycle. For example, a PCT of 50% equates to 50% of the power that the power supply can deliver for a given set voltage level [46]. The contact angle remained almost constant at all treatments between 5 and 70% PCT. The contact angle value then decreased to lower values with the more intense plasma obtained above 80% PCT values. After five days the water contact angle gradually increases to close to that obtained for the untreated polymer of 83°.

Figure 6.

Polystyrene water contact angle (recovery; time 1 h, 1 d and 5 d) versus plasma cycling time (PCT) (%). Treatment conditions: PWM 25 kHz, air volume = 76.6 l min-1 and gap distance 16 mm [46]

2.2.2. Applications of plasma surface treatments

Polymers are widely applied in fields ranging from biomaterials to automobile components [10]. Tailoring surface properties such as chemical composition, hydrophilicity, roughness, crystallinity, lubricity and cross-linking density are required for the success in these applications [60]. While polymers have excellent bulk material and mechanical properties they often do not possess the surface properties needed for these applications. Plasma treatments are therefore applied to enhance surface properties, examples include the following.

2.2.2.1. Adhesion enhancement

A particular focus of research on plasma-treated polymer base materials is related to adhesion enhancement [16, 68, 69]. The main factors affecting on the surface adhesion of polymer surface are the polymer hydrophilicity and surface roughness [55]. Example of the effect of atmospheric He plasma treatment on the surface roughness of PET polymer is shown in Figure 7.

Figure 7.

AFM analysis of untreated (left) and He plasma treated APET (right). The roughness (Ra) increased from 0.4 to 0.9 nm after the plasma treatment [25]

Zhiqiang Gao et al. [55] investigated the effect of atmospheric pressure plasma (13.56 MHz) treatments of polyamids on their subsequent T-peel strength. As shown in Figure 8 it was found that the peel strength increases with longer plasma treatment but appeared to reach a maximum after approximately 120 seconds, under the conditions used. Other authors have shown that over plasma treatment of polymers can result in loss in adhesion, due to thermal damage of the treated surface [69].

Figure 8.

Dependence of T-peel strength on He/O2 plasma treatment time [55]

2.2.2.2. Heat-sealing

In addition to the activation of polymers prior to adhesive bonding, a further application of plasmas is to enhance heat-sealing efficiency. The basic sealing methodology is the bonding together of two polymer surfaces by bringing them into intimate contact while they are in a partially molten state [70]. Heat-sealed polymer trays are widely used for packaging food products, particularly meat and fish [25]. The advantage of plasmas pre-treating the polymers prior to heat sealing is a reduction in the required sealing temperature to achieve full bond strength [71]. For example, treatment of amorphous polyethylene terephthalate (APET) polymer used in food packaging using an atmospheric He plasma yielded up to a 25-fold increase in the heat-sealed polymer peel strength, compared to that obtained for the untreated polymer [25]. This was achieved at a temperature of 140°C, while normal heat-sealing takes place at about 180°C.

2.2.2.3. Removal of contaminates

In addition to the activation of polymers, contaminant layers can also be removed. An example is shown in Figure 9 where a thin layer of the mould release agent Frekote (approx. 8 nm thick) is removed from the surface of an epoxy composite [72]. Based on reflectance infra-red measurements the cured Frekote was fully broken down after 5 seconds of air plasma treatment. No thermal damage to the composite surface was observed. For this application it is critically important to provide sufficient energy to remove the organic contaminant but not to damage the composite surface.

Figure 9.

The reflectance FTIR spectra demonstrate the decrease in the intensity of the peaks associated with Frekote on an epoxy composite, with duration of the air plasma exposure.

2.2.2.4. Biomedical

Plasma treatments have been used extensively to modify biomaterials [52]. An example of a polymer that has been investigated using plasma treatment is polymethylmethacrylate (PMMA). In a recent study Fatemeh Rezaei et al. [17] used an rf oxygen plasma to enhance the antibacterial and wettability properties of (PMMA) polymer for biomedical applications, especially ophthalmology. They investigate the antibacterial performance against Escherichia coli ATCC 25922 by using a modified plate-counting method. Up to a 2 log reduction in bacterial adhesion was observed on the plasma modified polymer. The effect of hydrophobic recovery on the antibacterial performance of the polymer was however not investigated. This study concluded that the bacterial adhesion mechanism is more highly dependent on the surface wettability and hydrophilicity compared to the surface roughness.

2.3. Plasma coating of polymer surfaces

Plasma polymerisation has been defined as the formation of polymeric materials under the influence of plasma [29]. It refers to the deposition of polymer films through plasma dissociation due to the excitation of an organic monomer gas and subsequent deposition and polymerisation of the excited species on the surface of a substrate [3]. The process of plasma polymerisation involves reactions between plasma species, between plasma and surface species, and between surface species [71]. In plasma polymerisation, the transformation of low-molecular weight molecules (monomers) into high-molecular-weight molecules (polymers) is achieved due to the effect of energetic plasma species such as electrons, ions and radicals [52]. In this way plasma polymerisation is clearly chemically very different from conventional polymerisation as it involves radicals and ions [52]. Plasma polymers do not comprise repeating monomer units, but instead complicated units containing cross-linked, fragmented and rearranged units from the monomers. Unlike the case of plasma activated polymer where hydrophobic recovery causes increased contact angles to change with time after treatment, the chemistry of the plasma polymerisation controls and fixes the water contact angle of the coated polymer.

Polymeric thin films obtained using plasma-enhanced chemical vapour deposition (PECVD) have several advantages over films produced by conventional polymerisation. The resulting thin, pinhole-free films that are highly coherent and adherent to a variety of substrates may be prepared from monomers not polymerisable by conventional means. The deposited films can be tailored to exhibit properties such as chemically inertness, mechanically tough and thermally stable. They have thus been used in a wide variety of electrical, optical and biomedical applications [73]. Additionally, plasma polymerised surfaces have economical advantage of a ‘‘green’’, environmentally benign, technology as compared to other processing methods [74].

Siloxane monomers provide a large number of possible reactants for plasma polymerisation reactions and are generally sufficiently volatile near room temperature, are nontoxic, nonflammable, commercially available, and exhibit safe handling besides being economical [71]. These compounds are preferentially used as monomers in low-pressure plasma deposition of silica (SiO2) and non-stoichiometric SiOx films, whereby the latter may have varying hydrocarbon contents [75, 76]. Besides silane (SiH4), commonly used monomers for the PECVD of SiO2 or SiOx films include tetraethoxysilane (TEOS), tetramethoxysilane (TMOS), tetramethylsilane (TMS), hexamethyldisiloxane (HMDSO) and hexamethyldisilazane (HMDS) [77, 78]. The presence of at least one organic group attached to the silicon atom (e.g., Si-CH3) facilitates the transition between a ‘soft’ polymeric surface and SiO2 by controlling the deposition process conditions. The carbon-silicon bond is very stable, nonpolar, and in the presence of an alkyl group it gives rise to low surface energy and hydrophobic effects [71].

The plasma polymerisation process is carried on usually in a low pressure, low temperature plasma such as those generated using direct current (dc), rf glow discharge (rfGD) and electron cyclotron resonance (ECR) sources [79, 80]. These plasma sources can generate large area uniform discharges with a well-controlled electron density [52]. An example of an oxygen rf plasma study to deposit silicon oxide films was that reported by K. Teshima et al. [80]. The precursor was tetramethoxysilane and it was found that by heating the substrate to 50°C enables carbon-free silicon oxide films to be deposited. Without this heating, during deposition carbon impurities which existed as Si-CH were obtained in the deposited films.

Plasma polymerised coatings have been extensively deposited on polymers in order to tailor surface energy, wettability and friction coefficient [79]. Many potential applications exist for these films in optics (antireflection coatings), food packaging gas diffusion barrier films and biomaterials engineering with respect to protein adsorption. A widely applied application of the silica films is their use for gas barriers applications in food packaging [81]. As a result there has been a strong demand for silica coatings on packaging polymers such as polyethylene terephthalate (PET), oriented polypropylene, polyethylene and oriented nylon. K. Teshima et al. [81] deposited silica films on PET, by low pressure low temperature PECVD using a mixture of tetramethoxysilane (TMOS) and oxygen. They reported that with the presence of active oxygen species, many types of contaminants in the film were largely eliminated, with the result that a dense silica film was obtained. Due to their good gas-barrier properties, applications include not only the manufacture of food packaging products, but also of organic electroluminescence displays and semiconductor devices.

2.3.1. Atmospheric plasma polymerised coatings

The precursors used for the low pressure deposition of plasma polymerised coatings can also be used to deposit coatings at atmospheric pressure. As outlined earlier the advantages of the atmospheric plasma source are ease of use, with the absence of a requirement for a deposition chamber. A disadvantage for the coating deposition is that the control of coating chemistry can be somewhat more complicated due to the formation of the discharge containing the precursor in air. Nevertheless by selecting appropriate siloxane and fluorinated siloxane precursors, it has been demonstrated that coatings exhibiting controlled water contact angles (θ) ranging from hydrophilic (θ < 5°) to superhydrophobic (θ > 150°) can be obtained using these plasmas [82]. This is demonstrated in Figure 10 where coatings were deposited in a He jet discharge using TEOS, HMDSO, tetramethylcyclotetrasiloxane (TC) and perfluorooctyltriethoxysilane precursors (FS). In addition to precursor type influencing the resulting water contact angle and surface energy, further deposition parameters that were important in influencing coatings chemistry and roughness were the precursor flow rate, jet source to substrate distance and discharge power.

Figure 10.

Water contact angle and surface energy measurements of uncoated and plasma polymer coated silicon wafer substrates [82]

In addition to fluorosiloxanes, fluoropolymers monomers have been extensively investigated as plasma polymerisable precursors for modifying material surfaces, due to their ability to control surface energy (and wettability), friction coefficient, chemical inertness, low dielectric constant and interactions with biological systems [71, 83]. Jacqueline Yim et al. [84] used atmospheric pressure plasma jet to investigate the developing hydrophobic thin film coatings on ultra-high molecular weight polyethylene (UHMWPE) films. Fluoroalkyl silanes, (CH3CH2O)3 SiCH2CH2 (CF2)7CF3 and (CH3O)3SiCH2CH2CF3 and fluoroaryl silane, F5ArSi(OCH2CH3)3 monomers were used as precursor materials and helium was used as the carrier gas. Optimal hydrophobic behaviour (contact angle of 110–116°) on the UHMWPE substrates was achieved using heptadecafluoro-1,1,2,2-tetrahydrodecyl triethoxysilane, owing to its long alkyl chain consisting of eight fluorocarbon (CF2 and CF3) groups. The properties of these polymers can be enhanced by producing mixed siloxane / fluoropolymer coatings [85]. In one study the anti-oil fouling performance of fluoropolymer/TEOS and fluorosiloxane/TEOS coatings were tested for 30 days at 95 °C on stainless steel separator discs in the engine of a passenger ferry [86]. In addition to demonstrating the good adhesion and durability of these approx. 100 nm thick coatings, they also exhibited significantly reduced levels of engine oil foulant adhesion onto the separator discs.

2.3.1.1. Superhydrophobic (SH) coatings

Due to their self-cleaning and antistick properties there are a considerable range of potential applications of superhydrophobic surfaces. These properties are desirable for many industrial and biological applications such as self-cleaning windshields for automobiles, anti-biofouling paints for boats, antisticking of snow for antennas and windows, stain resistant textiles, antisoiling architectural coatings, the separation of water and oil. A particularly important potential application is in the textile industry such as in the manufacture of water-proof, fire-retardant clothes [87].

Atmospheric pressure plasma have been used for the deposition of SH coatings, which generally exhibit a low polar chemistry in conjunction with a high surface roughness, such as the needle-like morphology shown in Figure 11 (right), the advantage of using plasmas for this application is firstly the relative speed of SH coating deposition as generally only a single-step deposition process is required [71]. Superhydrophobic properties can also be obtained using non-fluorinated precursors. An example is the hexamethyldisiloxane (HMDSO) precursor, which as demonstrated in Figure 11 can be deposited as a low surface roughness hydrophobic coating (water contact angle 96°), or by tailoring the deposition conditions as a superhydrophobic coating (water contact angle 153°). The difference of 15 and 152 nm respectively in the coating roughness (Ra), as shown in Figure 11, was achieved by altering the jet orifice to substrate distance [85].

Figure 11.

Optical profilometry images of the HMDSO hydrophobic coating (contact angle 96° - left) and superhydrophobic coating (contact angle 153° - right) [85]

2.3.1.2. Biomaterial applications

Plasma polymerised coatings are increasingly being investigated for use in biomedical applications. These include surface modification of biomaterials to enhance implant integration, the development of targeted drug delivery systems for more effective localised treatment of diseases, as well as therapeutic applications such as wound healing and sterilisation [71].

When an implant material is placed within the body, there are a number of interactions that occur. These interactions take place at the interface between the material surface and the biological environment. As a result, low-temperature plasma modification offers a potentially excellent route to alter the surface properties of an implant material to enhance integration, while retaining the operational functionality provided by the bulk material [71]. Table 6 lists some of the more common research areas and applications of plasma treatment in biomaterials. Low pressure rf plasmas for example have been used for deposited diglyme films on the medical grade polyurethane substrates in order to produce a water contact angle of 22° compared with 85° for the polymer itself to improve the coupling of polyurethanes with the living environment [88]. Atmospheric plasmas have also been used for the application of biofunctional coating to reduce inflammation, which may result in the formation of biofilms and bacteria and consequently cause the rejection of implant materials [71]. To enhance the hemocompatibility of blood contacting biomaterials, it is often beneficially to reduce the attachment of serum proteins, which can lead to the formation of thrombin, inflammation and implant rejection [66].

Blood-compatible surfaces - Vascular grafts, catheters, stents, heart-valves, membranes (e.g. for haemodialysis), filters (e.g. for blood cell separation), biomolecules immobilised on surfaces.
Non-fouling surfaces - Intraoculars (IOLs), contact lenses, wound healing, catheters, and biosensors.
Tissue engineering and cell culture - Cell growth, antibody production, essays, and vascular grafts.
Biosensors - Biomolecules immobilised on surfaces.
Barriers coatings - Drug-release, gas-exchange membranes, device protection, corrosion protection, reduction of leaches (e.g. additives, catalysts, plasticisers, etc.)

Table 6.

Examples of the potential use of plasma technology in the biomaterials industry [52, 66]

It is widely reported that proteins tend to adsorb more favourably onto surfaces with hydrophobic properties [26]. Thus by deposition of plasma polymer films with specific chemical functionality, a reduction in the attachment of proteins, which can lead to biofilm formation, can be achieved. Through the deposition of siloxane films with varying water contact angle, it has been shown that cell attachment can be controlled as illustrated in Figure 12 [26]. For the siloxane coatings investigated in this study, the optimum MG63 (osteoblast) cell adhesion was observed at a water contact angle of approximately 64°. Surfaces which were more hydrophilic or hydrophobic led to a progressive reduction in the level of cell adhesion. The ability of superhydrophobic atmospheric plasma polymerised coatings to act as passive surfaces which resist bacterial (S. Aureus) adhesion has also been successfully demonstrated [82].

Figure 12.

Influence of the plasma polymerised siloxane coated polystyrene water contact angle on osteoblast cell (MG63) cell adhesion [26]

For fluorinated siloxane coatings, the level of cell adhesion was found to be directly dependent on the level of fluorination. For example, a 13-fold decrease in cell adhesion was observed for the surface with a water contact angle of 155° compared with that obtained at 110° [26]. It is concluded from this and other studies that adhesion was also significantly influenced by cell type, and that compared with the surface roughness, the surface chemistry was found to exhibit a greater influence on cell adhesion.

A number of studies have highlighted the use of atmospheric plasma to deposit antithrombogenic coatings. For example, Osaka et al. [89] indicated that the TMCTS coated surfaces prevented blood plasma leakage, while also providing an improved antithrombogenic surface. Clarotti et al. [90] used a low-temperature plasma system to deposit fluorocarbon coatings on polymer membrane material to improve material biocompatibility and hemocompatibility. The thrombogenicity of the treated membranes was shown to reduce after fluorocarbon coating, while the filtering properties of the membranes remained unaffected.

Advertisement

3. Summary

This chapter provided an overview of a range of treatments used to tailor the surface properties of polymers. In order to address their low surface energy a range of different treatments including flame, corona, low and atmospheric pressure plasmas have been successfully applied. Due to their controllability and speed of processing, plasmas are increasingly being selected as the method of choice for controlled industrial polymer surface treatments. The effect of the plasma-only treatments can be to remove contaminants, to enhance surface roughness and to produce ‘active’ polymer surfaces (i.e. generally oxygen rich). While these treatments are widely applied prior to adhesive bonding, the polymers may undergo hydrophobic recovery. For more stable surfaces, which avoid this problem, it is necessary to deposit a plasma polymerised coating. These coatings have been extensively studied, and by tailoring coating chemistry and roughness surfaces with water contact angles from <10° to >150° can be obtained, the wettability being controlled by the deposited coating surface chemistry and roughness. These plasma polymerised coatings even at thickness levels of only 100 nm, have been shown to exhibit a surprisingly high level of robustness, particularly when mixed precursor monomers are used for coating deposition.

References

  1. 1. Paul M. Bellan, editor. Fundamentals of Plasma Physics. Cambridge: Cambridge University Press; 2006. 631 p. DOI:10:0521821169
  2. 2. R. N. Franklin and N. St. J. Braithwaite. 80 years of plasma. Plasma Sources Science and Technology. 2009;18:010201 (3 p). DOI:10.1088/0963-0252/18/1/010201
  3. 3. A. Bogaerts, E. Neyts, R. Gijbels and J. Mullen. Gas discharge plasmas and their applications. Spectrochimica Acta Part B. 2002;57:609-658. DOI:10.1016/S0584-8547(01)00406-2
  4. 4. V. Nehra, A. Kumar and H. K. Dwivedi. Atmospheric non-thermal plasma sources. Journal of Engineering. 2008;2(1):53-68. ISSN: 1985-2312
  5. 5. J. Heberlein. New approaches in thermal plasma technology. Pure and Applied Chemistry. 2002;74(3):327–335
  6. 6. A. Fridman, A. Chirokov and A. Gutsol. Non-thermal atmospheric pressure discharges. Journal of Physics D: Applied Physics. 2005;38:R1–R24. DOI:10.1088/0022-3727/38/2/R01
  7. 7. A. Gleizes. Perspectives on thermal plasma modelling. Plasma Chemistry and Plasma Processing. 2014. DOI:10.1007/s11090-014-9589-2
  8. 8. R. Shishoo. Plasma technologies for textiles. Cambridge England: Woodhead Publishing Limited in association with The Textile Institute; 2007. 322 p. ISBN-10: 1-84569-073-7
  9. 9. M. J. Shenton, M. C. Lovell-Hoare and G. C. Stevens. Adhesion enhancement of polymer surfaces by atmospheric plasma treatment. Journal of Physics D: Applied Physics. 2001;34:2754-2760. DOI:10.1088/0022-3727/34/18/307
  10. 10. D. P. Subedi, L. Zajickova, V. Bursikova and J. Janca. Surface modification of polycarbonate (bisphenol A) by low pressure rf plasma. Himalayan Journal of Sciences. 2003;1(2):115-118.
  11. 11. J. Lai, B. Sunderland, J. Xue, S. Yan, W. Zhao, M. Folkard, et al. Study on hydrophilicity of polymer surfaces improved by plasma treatment. Applied Surface Science. 2006;252(10):3375-3379. DOI: 10.1016/j.apsusc.2005.05.038
  12. 12. N. Vandencasteele and F. Reniers. Plasma-modified polymer surfaces: characterization using XPS. Journal of Electron Spectroscopy and Related Phenomena. 2010;178:394-408. DOI: 10.1016/j.elspec.2009.12.003
  13. 13. M. R. Sanchis, V. Blanes, M. Blanes, D. Garcia and R. Balart. Surface modification of low density polyethylene (LDPE) film by low pressure O2 plasma treatment. European Polymer Journal. 2006;42(7):1558–1568. DOI:10.1016/j.eurpolymj.2006.02.001
  14. 14. I. Junkar, A. Vesel, U. Cvelbar, M. Mozetic and S. Strnad. Influence of oxygen and nitrogen plasma treatment on polyethylene terephthalate (PET) polymers. Vacuum. 2010;84:83–85. DOI: 10.1016/j.vacuum.2009.04.011
  15. 15. N. M. Elsayed, M. M. Mansour, O. F. Farag and M. H. Elghazaly. N2, N2-Ar and N2–He DC plasmas for the improvement of polymethylmethacrylate surface wettability. Advances in Applied Science Research. 2012;3(3):1327-1334. ISSN: 0976-8610. Available online at www.pelagiaresearchlibrary.com
  16. 16. R. Sanchis, O. Fenollar, D. Garcı, L. Sanchez and R. Balart. Improved adhesion of LDPE films to polyolefin foams for automotive industry using low-pressure plasma. International Journal of Adhesion & Adhesives. 2008;28:445-451. DOI:10.1016/j.ijadhadh.2008.04.002
  17. 17. F. Rezaei, M. A. Firouzjah and B. Shokri. Investigation of antibacterial and wettability behaviours of plasma-modified PMMA films for application in ophthalmology. Journal of Physics D: Applied Physics. 2014;47:1-10. DOI:10.1088/0022-3727/47/8/085401
  18. 18. P. Slepicka, N. S. Kasálková, E. Stránská, L. Bacáková and V. Svorcik. Surface characterization of plasma treated polymers for applications as biocompatible carriers. eXPRESS Polymer Letters. 2013;7(6):535–545. DOI: 0.3144/expresspolymlett.2013.50
  19. 19. J. Wang, P. Chen, H. Li, L. Wei, B. Wang, C. Zhang and N. Ren. Surface characteristic of poly (p-phenylene terephthalamide) fibers with oxygen plasma treatment. Surface and Interface Analysis. 2008;40:1299-1303. DOI: 10.1002/sia.2890
  20. 20. N. Encinas, B. Díaz-Benito, J. Abenojar and M. A. Martínez. Extreme durability of wettability changes on polyolefin surfaces by atmospheric pressure plasma torch. Surface & Coatings Technology. 2010;205:396-402. DOI: 10.1016/j.surfcoat.2010.06.069
  21. 21. K. Kostov, A. dos Santos, R. Honda, P. Nascente, M. Kayama, M. Algatti, et al. Treatment of PET and PU polymers by atmospheric pressure plasma generated in dielectric barrier discharge in air. Surface & Coatings Technology. 2010;204:3064-3068. DOI:10.1016/j.surfcoat.2010.02.008
  22. 22. C. Mello, K. Kostov, M. Machida, L. de Oliveira Hein and K. de Campos. Surface modification of polycarbonate by atmospheric-pressure plasma jets. IEEE Transactions on Plasma Science. 2012;40(11):2800 - 2805. DOI:10.1109/TPS.2012.2210055
  23. 23. J. Abenojar, M. Martínez, F. Velasco and M. Rodríguez-Pérez. Atmospheric plasma torch treatment of polyethylene/boron composites: effect on thermal stability. Surface & Coatings Technology. 2014; 239:70-77. DOI:10.1016/j.surfcoat.2013.11.020
  24. 24. C. Kan and C. Yuen. Effect of atmospheric pressure plasma treatment on wettability and dryability of synthetic textile fibres. Surface & Coatings Technology. 2013;228:S607-S610. DOI:10.1016/j.surfcoat.2011.10.061
  25. 25. D. P. Dowling, J. Tynan, P. Ward, A. M. Hynes, J. Cullen and G. Byrne. Atmospheric pressure plasma treatment of amorphous polyethylene terephthalate for enhanced heat sealing properties. International Journal of Adhesion & Adhesives. 2012;35:1-8. DOI:10.1016/j.ijadhadh.2012.01.025
  26. 26. D. P. Dowling, I. S. Miller, M. Ardhaoui and W. M. Gallagher. Effect of surface wettability and topography on the adhesion of osteosarcoma cells on plasma modified polystyrene. Biomaterials Applications. 2011;26:327-347. DOI:10.1177/0885328210372148
  27. 27. J. Song, U. Gunst, H. F. Arlinghaus and G. Vancso. Flame treatment of low-density polyethylene: surface chemistry across the length scales. Applied Surface Science. 2007;253:9489-9499. DOI:10.1016/j.apsusc.2007.06.018
  28. 28. R. Wolf1 and A. C. Sparavigna. Role of plasma surface treatments on wetting and adhesion. Engineering. 2010;2:397-402. DOI:10.4236/eng.2010.26052
  29. 29. M. T. van Os. Surface modification by plasma polymerization: film deposition, tailoring of surface properties and biocompatibility [thesis]. University of Twente, Enschede, The Netherlands:2000. 135 p. Available from: http://doc.utwente.nl/9575/1/t0000021.pdf
  30. 30. J. Custodio, J. Broughton, H. Cruz and P. Winfield. Activation of timber surfaces by flame and corona treatments to improve adhesion. International Journal of Adhesion & Adhesives. 2009;29(2):167-162. DOI: 10.1016/j.ijadhadh.2008.03.003
  31. 31. U. Kogelschatz. Atmospheric-pressure plasma technology. Plasma Physics and Controlled Fusion. 2004;46(12 B):B63–B75. DOI:10.1088/0741-3335/46/12B/006
  32. 32. V. Jones, M. Strobel and M. J. Prokosch. Development of poly(propylene) surface topography during corona treatment. Plasma Processes and Polymers. 2005;2:547-553. DOI: 10.1002/ppap.200500033
  33. 33. G. S. Selwyn, H. W. Herrmann, J. Park and I. Henins. Materials processing using an atmospheric pressure, RF-generated plasma source. Contributions to Plasma Physics. 2001;41(6):610−619. DOI:10.1002/1521-3986(200111)41:6<610::AID-CTPP610>3.0.CO;2-L
  34. 34. N. Sellin, J. S. C. Campos. Surface composition analysis of PP films treated by corona discharge. Materials Research. 2003;6(2):163-166. DOI: 10.1590/S1516-14392003000200009
  35. 35. M. Strobel, V. Jones, C. S. Lyons, M. Ulsh, M. J. Kushner, R. Dorai and M. C. Branch. A comparison of corona-treated and flame-treated polypropylene films. Plasmas and Polymers. 2003;8(1):61-95. DOI:10.1023/A:1022817909276
  36. 36. S. Pelagade. Surface modification of thermoplastic polymers with plasma treatment [thesis]. University of Baroda:2013. 152 p. Available from: http://14.139.121.106:8080/jspui/bitstream/1/615/1/1%20SURFACE%20MODIFICATION%20OF%20THERMOPLASTIC%20POLYMERS%20WITH%20PLASMA%20TREATMENT.pdf
  37. 37. VETAPHONE Corona & Plasma. Available from: http://www.vetaphone.com/ [Accessed: 2015]
  38. 38. Enercon Industries Corporation. Available from: http://www.enerconind.com/ [Accessed: 2015]
  39. 39. Z. N. Fan, Q. C. Chen, P. K. Chu and C. Chan. low pressure plasma immersion ion implantation of silicon. IEEE Transactions on Plasma Science. 1998;26(6):1661-1668. DOI: 0093–3813
  40. 40. K. Teii. Plasma deposition of diamond at low pressures: a review. IEEE Transactions on Plasma Science. 2014;42(12):3862-3869. DOI:10.1109/TPS.2014.233772
  41. 41. M. Nitschke, U. Konig, U. Lappan, S. Minko, F. Simon, S. Zschoche and C. Werner. Low pressure plasma-based approaches to fluorocarbon polymer surface modification. Journal of Applied Polymer Science. 2007;103:100-109. DOI: 10.1002/app.24717
  42. 42. K. Samanta, M. Jassal and A. K. Agrawal. Atmospheric pressure glow discharge plasma and it is applications in textile. Indian Journal of Fibre and Textile Research. 2006;31:83-98.
  43. 43. N. St. J. Braithwaite. Introduction to gas discharges. Plasma Sources Science and Technology. 2000;9:517-527. DOI: 10.1088/0963-0252/9/4/307
  44. 44. L. J. Ward, W. C. E. Schofield and J. P. S. Badyal. Atmospheric pressure plasma deposition of structurally well-defined polyacrylic acid films. Chemistry of Materials. 2003;15:1466-1469. DOI:10.1109/TPS.2014.2320268
  45. 45. M. Laroussi, T. Akan. Arc-free atmospheric pressure cold plasma jets: a review. Plasma Processes Polymers. 2007;4:777-788. DOI: 10.1002/ppap.200700066
  46. 46. D. P. Dowling, F. T. O’Neill, S. J. Langlais and V. J. Law. Influence of dc pulsed atmospheric pressure plasma jet processing conditions on polymer activation. Plasma Processes and Polymers. 2011;8:718-727. DOI:10.1002/ppap.201000145
  47. 47. T. Desmet, R. Morent, N. De Geyter, C. Leys, E. Schacht and P. Dubruel. Nonthermal plasma technology as a versatile strategy for polymeric biomaterials surface modification: a review. Biomacromolecules. 2009;10(9):2351-2378. DOI:10.1021/bm900186s
  48. 48. X. M. Li, D. Reinhoudt and M. C. Calama. What do we need for a superhydrophobic surface? A review on the recent progress in the preparation of superhydrophobic surfaces. Chemical Society Reviews. 2007;36:1350–1368. DOI: 10.1039/b602486f
  49. 49. C. Riccardi, R. Barni, E. Selli, G. Mazzone, M. R. Massafra, B. Marcandalli and G. Poletti. Surface modification of poly(ethylene terephthalate) fibers induced by radio frequency air plasma treatment. Applied Surface Science. 2003;211:386-397. DOI:10.1016/S0169-4332(03)00265-4
  50. 50. D. Papakonstantinou, E. Amanatides, D. Mataras, V. Ioannidis and P. Nikolopoulos. Improved surface energy analysis for plasma treated PET films. Plasma Processes Polymers. 2007;4:S1057–S1062. DOI:10.1002/ppap.200732405
  51. 51. S. Pelagade, N. L. Singh, S. Shah, A. Qureshi, R. S. Rane, S. Mukherjee, U. P. Deshpande, V. Ganesan and T. Shripathi. Surface free energy analysis for bipolar pulsed argon plasma treated polymer films. Journal of Physics: Conference Series. 2010;208:1-8. DOI:10.1088/1742-6596/208/1/012107
  52. 52. P. K. Chua, J. Y. Chena, L. P. Wanga and N. Huangb. Plasma-surface modification of biomaterials. Materials Science and Engineering. 2002;36(5):143–206. DOI:10.1016/S0927-796X(02)00004-9
  53. 53. M. B. O. Riekerink. Structural and chemical modification of polymer surfaces by gas plasma etching [thesis]. University of Twente, Enschede, the Netherlands: Printpartners Ipskamp, Enschede; 2001. 151 p. Available from: http://doc.utwente.nl/36628/1/t0000037.pdf DOI: 90 365 1643 9
  54. 54. M. Avram, M. A. Avram, A. Bragaru, A. Ghiu and C. Ilieseu. Plasma surface modification of polymer substrates for selective hydrophobic control. In: IEEE International Semiconductor Conference (30th edition CAS Proceedings); Vol. (1), 15-17 October; Sinaia, Romania. p. 91–94
  55. 55. Z. Gao, S. Peng, J. Sun, L. Yao and Y. Qiu. Influence of processing parameters on atmospheric pressure plasma etching of polyamide 6 films. Applied Surface Science. 2009;255:7683-7688. DOI:10.1016/j.apsusc.2009.04.137
  56. 56. A. Vesel and T. Semeni. Etching rates of different polymers in oxygen plasma. Materials and Technology. 2012;46(3):227–231. ISSN 1580-2949
  57. 57. K. C. Hsu and M. D. Koretsky. Surface kinetics of polyphenylene oxide etching in a CF4/O2/Ar downstream microwave plasma. Journal of the Electrochemical Society. 2000;147(5):1818-1824. ISSN: 0013-4651
  58. 58. B. Yameen. Novel polymer systems and surface modifications of planar and porous substrates for advanced applications [thesis]. Mainz:2008. 200 p. Available from: http://www2.mpip-mainz.mpg.de/documents/akkn/publications/thesis/yameen_2008.pdf
  59. 59. M. Kuzuya, T. Izumi, Y. Sasai and S. Kondo. Sodium carboxylate effect of non-cross-linked hydrogel on plasma induced radical formation as studied by electron spin resonance. Thin Solid Films. 2004;457:12-19. DOI:10.1016/j.tsf.2003.12.032
  60. 60. C.-M. Chan and T.-M. Ko. Polymer surface modification by plasmas and photons. Surface Science Reports. 1996;24:1-54. ISSN: 0167-5729
  61. 61. S. Guruvenketa, G. Mohan Raoa, M. Komathb and A. M. Raichur. Plasma surface modification of polystyrene and polyethylene. Applied Surface Science. 2004;236:278–284. DOI:10.1016/j.apsusc.2004.04.033
  62. 62. J. M. Grace and L. J. Gerenser. Plasma treatment of polymers. Journal of Dispersion Science and Technology. 2003;24(3 & 4):305–341. DOI:10.1081=DIS-120021793
  63. 63. K. Wagatsuma. Review emission characteristics of mixed gas plasmas in low-pressure glow discharges. Spectrochimica Acta Part B. 2001;56:465-486. DOI:10.1016/S0584-8547(01)00184-7
  64. 64. S. Guruvenket, M. Komath, S. P. Vijayalakshmi, A. M. Raichur and G. Mohan Rao. Wettability enhancement of polystyrene with electron cyclotron resonance plasma with argon. Journal of Applied Polymer Science. 2003;90(6):1618–1623. DOI: 10.1002/app.12816
  65. 65. K. Tsougeni, N. Vourdas, A. Tserepi and E. Gogolides. Mechanisms of oxygen plasma nanotexturing of organic polymer surfaces: from stable super hydrophilic to super hydrophobic surfaces. Langmuir. 2000;25(19):11748–11759. DOI:10.1021/la901072z
  66. 66. F. Walther, P. Davydovskaya, S. Zurcher, M. Kaiser, H. Herberg, A. M. Gigler and R. W. Stark. Stability of the hydrophilic behavior of oxygen plasma activated SU-8. Journal of Micromechanics and Microengineering. 2007;17:524–531. DOI:10.1088/0960-1317/17/3/015
  67. 67. Yo. II Yun, K. S. Kim, S. J. Uhm, B. B. Khatua, K. Cho, J. K. Kim and C. E. Park. Aging behavior of oxygen plasma-treated polypropylene with different crystallinities. Journal of Adhesion Science and Technology. 2004;18(11):1279–1291. DOI:10.1163/1568561041588200
  68. 68. D. Hegemann, H. Brunner and C. Oehr. Plasma treatment of polymers for surface and adhesion improvement. Nuclear Instruments and Methods in Physics Research B. 2003;208:281–286. DOI:10.1016/S0168-583X(03)00644-X
  69. 69. M. J. Shenton, M. C. Lovell-Hoare and G. C. Stevens. Adhesion enhancement of polymer surfaces by atmospheric plasma treatment. Journal of Physics D: Applied Physics. 2001;34:2754–2760. DOI:10.1016/j.apsusc.2013.07.028
  70. 70. C. Mueller, G. Capaccio, A. Hiltner and E. Baer. Heat sealing of LLDPE: relationships to melting and interdiffusion. Journal of Applied Polymer Science. 1998;70(10):2021-2030. DOI:10.1002/(SICI)1097-4628(19981205)70:10<2021::AID-APP18>3.0.CO;2-A
  71. 71. D. P. Dowling. Surface processing using cold atmospheric pressure plasmas. In: Comprehensive Materials Processing; Cameron, D., Ed.; Elsevier Ltd., 2014;4:171-185. DOI:10.1016/B978-0-08-096532-1.00408-8
  72. 72. V. J. Law, J. Mohan, F. T. O'Neill, A. Ivankovic and D. P. Dowling. Air based atmospheric pressure plasma jet removal of FreKote 710-NC prior to composite-to-composite adhesive bonding. International Journal of Adhesion & Adhesives. 2014;54:72-81. DOI:10.1016/j.ijadhadh.2014.05.001
  73. 73. H. G. P. Lewis, D. J. Edell and K. K. Gleason. Pulsed-PECVD films from hexamethylcyclotrisiloxane for use as insulating biomaterials. Chemistry of Materials. 2000;12:3488-3494. DOI:10.1021/cm0003370
  74. 74. H. Yasuda and Y. Matsuzawa. Economical advantages of low-pressure plasma polymerization coating. Plasma Processes Polymers. 2005;2(6):507-512. DOI:10.1002/ppap.200500002
  75. 75. R. R. Trivedi. Study of thin film surfaces [thesis]. Brno University of Technology:2010. Available from:
  76. 76. http://www.vutbr.cz/www_base/zav_prace_soubor_verejne.php?file_id=34215
  77. 77. D. Theirich, Ch. Soll, F. Leu and J. Engemann. Intermediate gas phase precursors during plasma CVD of HMDSO. Vacuum. 2003;71:349–359. DOI:10.1016/S0042-207X(02)00763-7
  78. 78. T. H. Chung, M. S. Kang, C. J. Chung and Y. Kim. Effects of process parameters on the properties of silicon oxide films using plasma enhanced chemical vapor deposition with tetramethoxysilane. Current Applied Physics. 2009;9:598-604. DOI:10.1016/j.cap.2008.05.011
  79. 79. H. Biederman, editor. Plasma Polymer Films. London: Imperial College Press; 2004. 386 p. DOI:1-86094-467-1
  80. 80. Ch. Voulgaris, E. Amanatides, D. Mataras, S. Grassini, E. Angelini and F. Rosalbino. RF power and SiOxCyHz deposition efficiency in TEOS/O2 discharges for the corrosion protection of magnesium alloys. Surface & Coatings Technology. 2006;200:6618-6622. DOI:10.1016/j.surfcoat.2005.11.058
  81. 81. K. Teshima, Y. Inoue, H. Sugimura, O. Takaia. Reduction of carbon impurities in silicon oxide films prepared by rf plasma-enhanced CVD. Thin Solid Films. 2001;390(1):88-92. DOI:10.1016/S0040-6090(01)00943-9
  82. 82. K. Teshimaa, Y. Inouec, H. Sugimuraa and O. Takaid. Synthesis of silica films on a polymeric material by plasma-enhanced CVD using tetramethoxysilane. Surface and Coatings Technology. 2003;169-170:583–586. DOI:10.1016/S0257-8972(03)00111-7
  83. 83. C. P. Stallard, K. A. McDonnell, O. D. Onayemi, J. P. O’Gara and D. P. Dowling. Evaluation of protein adsorption on atmospheric plasma deposited coatings exhibiting superhydrophilic to superhydrophobic properties. Biointerphases. 2012;7(1-4):1-12. DOI:10.1007/s13758-012-0031-0
  84. 84. A. Kumar Gnanappa, C. O’Murchu, O. Slattery, F. Peters, B. Aszalos-Kiss and S. A. M. Tofail. Effect of annealing on hydrophobic stability of plasma deposited fluoropolymer coatings. Polymer Degradation and Stability. 2008;93:2119-2126. DOI:10.1016/j.polymdegradstab.2008.08.012
  85. 85. J. H. Yim, V. Rodriguez-Santiago, A. A. Williams, T. Gougousi, D. D. Pappas and J. K. Hirvonen. Atmospheric pressure plasma enhanced chemical vapor deposition of hydrophobic coatings using fluorine-based liquid precursors. Surface & Coatings Technology. 2013;234:21-32. DOI:10.1016/j.surfcoat.2013.03.028
  86. 86. C. E. Nwankire, G. Favaro, Q. -H. Duong and D. P. Dowling. Enhancing the mechanical properties of superhydrophobic atmospheric pressure plasma deposited siloxane coatings. Plasma Processes Polymers. 2011;8(4):305-315. DOI:10.1002/ppap.201000069
  87. 87. D. P. Dowling, C. E. Nwankire, M. Riihimäki, R. Keiski and U. Nylén. Evaluation of the anti-fouling properties of nm thick atmospheric plasma deposited coatings. Surface & Coatings Technology. 2010;205:1544-1551. DOI:10.1016/j.surfcoat.2010.10.010
  88. 88. X.-M. Li, D. Reinhoudt, M. C. Calama. What do we need for a superhydrophobic surface? A review on the recent progress in the preparation of superhydrophobic surfaces. Chemical Society Reviews. 2007;36(8):1350-1368. DOI:10.1039/b602486f
  89. 89. M. A. Ribeiro, A. S. Ramos, M. I. Manfredini, H. A. Alves, R. Y. Honda, K. G. Kostov, E. F. Lucena, et al.. Polyurethane coating with thin polymer films produced by plasma polymerization of diglyme. Journal of Physics: Conference Series. 2009;167(1):012056. DOI:10.1088/1742-6596/167/1/012056
  90. 90. C. Hu, E. Dolence, J. Person and S. Osaki. Preparation of a plasma polymerized tetramethylhydrocyclotetrasiloxane membrane on microporous hollow fibers. Surface Modification of Polymeric Biomaterials. 1997;61:61-68
  91. 91. G. Clarotti, F. Schue, J. Sledz, A. Ait Ben, K. Geckeler, A. Orsetti, et al. Modification of the biocompatible and haemocompatible properties of polymer substrates by plasma-deposited fluorocarbon coatings. Biomaterial. 1992;13(12):832-840. DOI:10.1016/0142-9612(92)90176-O

Written By

Hisham M. Abourayana and Denis P. Dowling

Submitted: 14 October 2014 Reviewed: 28 May 2015 Published: 16 December 2015