Open access

Femtosecond Laser Nonlinear Lithography

Written By

Hiroaki Nishiyama and Yoshinori Hirata

Published: 01 February 2010

DOI: 10.5772/8170

From the Edited Volume

Lithography

Edited by Michael Wang

Chapter metrics overview

4,855 Chapter Downloads

View Full Metrics

1. Introduction

Micro-optical elements with precisely controlled three-dimensional surfaces are expected to play important roles in the fields of functional photonic devices, micro-opto-electro-mechanical systems, lab-on-a-chip devices, and so forth. For example, blazed gratings exhibit more than two times higher diffraction efficiencies than those of their standard binary counterparts. Aspheric lenses are effective for precise control of light refraction for compensation of optical spherical aberration. Sub-wavelength periodic structures can markedly suppress Fresnel-reflection losses−generated at the interface between lens materials and air−over a wide spectral bandwidth and a large field of view.

Most micro-optical elements are manufactured using semiconductor fabrication technology including lithography and various etching methods. Although such a technology is an effective means for arbitrary nano/microstructures with high quality on a wafer, the cross-sectional profiles of the structures are limited to binary shapes. Consequently, the fabrication of three-dimensional surfaces such as slopes and hemispherical shapes remains a challenging task. For obtaining slope structures, a gray-scale mask method (Suleski & O’Shea, 1995), a multiple mask technique (Herzig, 1997), and a moving mask process (Hirai et al., 2007) are often used. Electron-beam direct writing with dose control can also be utilized (Fujita et al., 1982). However, these techniques entail strong restrictions in spatial resolution and cross-sectional profiles of the resist. Alternatively, highly precise control of exposure conditions and stage alignments are required.

In addition to this limitation, the semiconductor fabrication technology has another problem: this technology typically cannot be applied to the microfabrication on non-flat substrates because of its planar nature. When photoresists are coated onto non-flat substrates, the resist thickness varies from area to area because of the surface tension. The focal position and the light power are modulated by the resist thickness. Consequently, the pattern sizes are strongly affected by the depth profiles of underlying substrates. The spray-coating technique was reported to obtain uniform distribution of the resist thickness even on non-flat substrates (Singh et al., 2005). However, its long-focal-depth optics is unsuitable for achieving high spatial resolution. Some research groups have demonstrated the lithographic fabrication onto convex or concave lenses using specially modified stage systems with ultraviolet laser sources (Radtke & Zeitner, 2007). Although this technique is useful, complex exposure systems are necessary.

To overcome these difficulties of current semiconductor fabrication technology, we have proposed a combined process of nonlinear lithography and plasma etching, and have demonstrated the fabrication of three-dimensional surfaces of various optical materials (Nishiyama et al., 2008). This approach is designated as femtosecond-laser lithography-assisted micromachining (FLAM). When femtosecond laser pulses are tightly focused into transparent materials including photoresists, nonlinear optical phenomena such as two-photon absorption (TPA) occur only near the focal region. Such a unique property of nonlinear processes enables us to induce photochemical reactions directly inside the materials, which can be a powerful tool to elevate the current semiconductor technology. In this chapter, we describe fundamentals of femtosecond laser nonlinear lithography and present the microfabrication of functional three-dimensional surface structures using FLAM.

Advertisement

2. Femtosecond laser nonlinear lithography

Figure 1 schematically shows the optical absorption behaviors when photopolymers are exposed to ultraviolet light and near-infrared femtosecond laser pulses. Here, these photopolymers are transparent within the spectral range from visible to near-infrared wavelength. For the former, photon energy of ultraviolet light is absorbed mainly near polymer surfaces following the Lambert-Beer law. Depending on the concentration of photoinitiators, the penetration depth is within few micrometers. Consequently, ultraviolet lithography is useful only to form planar structures. In contrast, for the latter, photochemical reactions such as photopolymerization can be induced only near the focal volume, where the laser intensity is highest, via nonlinear optical processes including TPA.

The principle of near-infrared femtosecond laser lithography for the formation of three-dimensional polymeric structures is presented in Fig. 2. Once a laser spot is scanned inside the resist three-dimensionally, the photo-modification is initiated along the trace of the laser spot. The resist is developed to reveal the desired three-dimensional structures. For a chemically amplified negative-tone resist such as SU-8, the cross-linking reaction of monomers is induced in exposed regions by post-exposure baking. Subsequently, unexposed regions are washed away by development treatment, leaving only the desired freestanding structures. The photo-modified region in the focal spot can be approximated as an ellipsoid as shown in fig. 2(d). The aspect-ratio of the ellipsoid is 3–5 when laser pulses are focused into the polymers via an objective lens of NA of 1.2–1.4, depending on the exposure conditions and photosensitivity of the materials.

Figure 1.

Optical absorption behaviors of (a) ultraviolet light and (b) near-infrared femtosecond laser pulses.

Figure 2.

Femtosecond laser lithography processes in the case of a negative-tone resist. Three-dimensional polymeric structures can be created.

In 1997, Kawata et al. first reported the fabrication of full three-dimensional microstructures using femtosecond laser lithography (two-photon lithography) (Maruo et al., 1997). Four years later, the same research group created the world’s smallest three-dimensional bulls (Kawata et al., 2001). The bulls were based on polymeric materials and their feature sizes were approximately 10 μm, similar in size to red blood cells. In this report, the lateral spatial resolution was as high as approximately 100 nm, which is below the diffraction limit. After their works, various three-dimensional micro-sculptures such as Venus statues (Serbin et al., 2003), an opera house (Straub, 2004), jars (Park et al., 2005), and gears (Sun et al., 2004) have been demonstrated using this nonlinear lithographic technique. Functional devices such as full three-dimensional photonic crystals (Deubel et al., 2004), plasmonic elements (Reinhardt et al., 2006) and optically-driven microsystems (Maruo et al., 2003) were also reported. Femtosecond laser lithography is a truly three-dimensional process because of its capability of direct exposure of the internal volume, whereas conventional single-photon lithography is based on its planar nature. Depending on laser systems, exposure conditions and material responses, feature sizes of 200–300 nm are typically achievable. Recently, much higher resolution of sub-30 nm was achieved in bridge patterns through careful control of the laser intensity (Juodkazis et al., 2005).

Figure 3 shows a typical exposure setup for micro/nanofabrication using femtosecond laser lithography. Near-infrared femtosecond laser pulses can be obtained from a Ti:sapphire laser system. The laser power and the pulse number are controlled, respectively, by neutral density filters and a high-speed shutter. The repetition rate of the oscillator system is approximately 80 MHz, which is much higher than that of the amplified system (1 kHz). Recently, an Er-doped femtosecond fiber laser is also commercially available as a light source for nonlinear lithography. Compared to Ti:sapphire systems, fiber laser systems have several advantages of beam quality, long-term stability, and cost competitiveness. The fundamental wavelength of Er-doped fiber laser is 1560 nm, and the second harmonic wavelength (780 nm) is obtainable via periodically poled LiNbO3 (PPLN).

Figure 3.

Femtosecond laser nonlinear lithography system.

In most previously reported studies, the laser beam is focused into resists or photopolymers through an ultra-high-NA objective lens (≥ 1.2) to achieve high spatial resolution. In these cases, a cover glass, which is thin and transparent, is generally used as a substrate because of the extremely short working-distance of the lens. The laser pulses are focused into resins from the back of the substrates. It must be emphasized that, in femtosecond laser lithography, laser irradiation from above the substrate is rarely used because of the short working-distance problem. Direct laser writing of three-dimensional patterns is carried out using a piezo-stage system or a galvano-scanner system using three-dimensional computer-aided design data. Although photopolymers such as SCR-500 were used in early works of femtosecond laser lithography, various negative-tone (Kondo et al., 2005), positive-tone photoresists (Yu et al., 2003) and hybrid materials (Serbin et al., 2004) have been used for the microfabrication during the last several years.

Advertisement

3. Fundamentals of nonlinear absorption

In this section, we describe TPA as a popular approach of femtosecond laser lithography. Two-photon absorption is an interaction between optical waves and materials that occurs in the photo-excitation process of an atom or a molecule from a lower quantum state to an excited state. Two kinds of TPA mechanisms exist, as portrayed in Fig. 4: sequential excitation and simultaneous excitation. The former is an electronic transition to excited energy state via an intermediate state. The electron excited to the intermediate state is pumped further to a higher quantum state by absorbing the second photon with the same energy. For the latter, the electrons are excited to a higher state by absorbing two photons simultaneously in one quantum event with no excited intermediate state. This simultaneous TPA is generally referred to TPA. The life time of the virtual intermediate state for the simultaneous excitation is on the order of several femtoseconds. Two photons can be absorbed simultaneously to transcend the energy band-gap if the second photon arrives before the decay of the virtual state.

The TPA rate is proportional to the square of the laser intensity. In single-photon lithography, photochemical reactions are induced along the entire beam path through the photopolymers, as shown in Figure 1. The number of excited species in any cross-section along the optical axis decreases as the laser beam is absorbed. Compared to this, the integrated intensity squared is markedly increased at the beam waist in two-photon lithography. This quadratic dependence of TPA on laser intensity enables the direct internal

Figure 4.

Two-photon absorption mechanism: (a) sequential two-photon absorption and (b) simultaneous absorption.

Figure 5.

Laser intensity distribution. Two-photon absorption occurs only near the focal volume where the laser intensity exceeds the threshold value for two-photon absorption.

exposure of materials and the confinement of the photochemical changes into submicron dimensions near the focal point, where the laser intensity exceeds a certain threshold value for TPA. When femtosecond laser pulses are focused into a rhodamine B solution, the localization of photochemical responses can be observed directly as visible fluorescence, which is intuitively understandable for TPA processes (Wu et al., 2006). Figure 5 shows the laser intensity distribution near the focal region schematically. The photochemical reactions can be initiated at the volume below the diffraction-limit by controlling the laser energy and the pulse numbers because of the threshold behaviors of TPA.

The existence of TPA was predicted theoretically in 1931 (Göppert-Mayer). An experimental demonstration was first conducted in 1961 (Kaiser & Garrett). However, widely various applications were not been found for many years until the development of a light source with sufficient intensity. The cross-section for TPA is orders of magnitude lower than that for single-photon absorption. Extremely high laser intensity is required to generate a sufficient concentration of starter species via the TPA process. For example, with a resist of TPA coefficient of 20 cm/TW, intensity on the order of terawatts is necessary to drive TPA.

Two-photon absorption can be induced easily using femtosecond laser with ultra-high peak intensity generated by a novel pulse-compression technique. The typical pulse duration of femtosecond laser is 100–200 fs, and the time between pulses is about 10 ns. Consequently, the optical electric field sufficient for TPA is achievable. Although the average laser power of femtosecond fiber laser is several tens of milliwatts, TPA can be initiated at average power of a few milliwatts when laser pulses are tightly focused using a high-NA objective lens. The half wavelength of the oscillation wavelength of 800 nm is located around absorption bands that are responsible for photochemical reactions of resists. Simultaneously, laser pulses can be focused into the internal region without single-photon absorption because typical resists are transparent in the near-infrared spectral region.

Advertisement

4. Femtosecond-laser lithography-assisted micromachining

4.1. Fabrication of three-dimensional surfaces

Figure 6 presents a process for the formation of three-dimensional surfaces using FLAM (Nishiyama et al., 2008). Here, as an example, we chose microfabrication on non-flat substrates using negative-tone resists. First, resists are spin-coated onto substrates. Subsequently, arbitrary patterns are written directly inside the resists via femtosecond laser-induced TPA. These patterns are transferred to underlying substrates by reactive plasma after post-baking process and development treatment.

Conventional ultraviolet or electron beam lithography is based on a linear (single-photon) absorption process. Therefore, incident energy is absorbed from resist surfaces, which causes difficulty in the dose-control and alignment of the exposure position for deformed resists. In contrast, FLAM enables us to irradiate the internal regions directly through TPA. Previously, three-dimensional lithography was reported using single-photon process with precise control of laser power (Maruo & Ikuta, 2000). Compared to this, high spatial resolution is available in TPA. By combining femtosecond laser nonlinear lithography with plasma etching, we can fabricate complicated three-dimensional surface structures of various optical materials.

Figure 6.

Femtosecond-laser lithography-assisted micromachining.

We used a femtosecond fiber laser system for lithographic processes in FLAM. The central wavelength, pulse duration and repetition rate are, respectively, 780 nm, 128 fs and 100 MHz. The focusing lens is expected to have sufficient working distance to fabricate structures of various optical materials including SiO2 and Si. Consequently, the laser pulses were focused into resists through an objective lens with a numerical aperture (NA) of 0.5 and working distance of 15 mm. Resist patterns are finally removed as sacrificed layers after the pattern transfers in FLAM. Therefore, we used a chemically-amplified negative-tone resist KMPR and positive-tone resist PMER because of the lower adhesive strengths than that of SU-8, which was often used in previous works of femtosecond laser lithography. The pattern transfers were conducted using electron cyclotron resonance plasma with CHF3 and O2 gases.

4.2. Nonlinear lithographic properties of FLAM

In this section, we describe the formation of high-aspect-ratio patterns, which are observable only when femtosecond laser pulses are focused via a low-NA objective lens into resists (Nishiyama et al., 2008). Figure 7 shows SEM images of KMPR patterns generated using TPA processes. Each filamentary rod was formed by laser irradiation from above without translating the focal spot along the optical axis (z-axis). Here, the resist thickness was 50 μm. The average laser power and exposure time were, respectively, 27 mW and 1 s. The focal position for writing of each pattern is also indicated in Fig. 7, where the z-axis origin is defined as the substrate surface. The dotted line represents the resist surface before development treatment, indicating that all filamentary rods were formed inside the resist. Compared to previous reports of femtosecond laser lithography, much higher rods were observed, as shown in Fig.7. For example, rod heights were reported to be approximately 500 nm for SCR-500 when femtosecond laser pulses were focused using a high-NA (ca. 1.4) lens (Kawata et al., 2001). In our experiments, the maximum rod height was more than 45 μm, which corresponds to three-times larger than the focal-depth of the exposure setup. On the other hand, we could not find a clear threshold of laser power for this filament formation, suggesting that the mechanism was not related to the optical Kerr effect.

Kewitsch et al. reported the formation of fiber-like patterns with high aspect ratios, and explained the mechanism in terms of “self-trapping based on photopolymerization” (Kewitsch & Yariv, 1996). Refractive indices of photopolymerized regions increase by cross-linking reactions of monomers through the Lorentz–Lorenz relation. Therefore, the photopolymerized region can works as a waveguide core, leading to channel propagation of subsequent laser pulses. However, unlike photopolymers used in Kewitsch’s experiments, the cross-linking reactions are initiated during post-exposure baking using photogenerated acid for chemically amplified resists such as KMPR and SU-8. In short, a marked increase in the refractive index cannot be expected from light exposure alone. On the other hand, Seet et al. reported a heat accumulation effect by femtosecond lasers exposure, and also demonstrated fine patternings of SU-8 without post-exposure baking (Seet et al., 2006). The thermal cross-linking temperature of KMPR was measured as approximately 170 C, which is close to that of SU-8. Therefore, the laser-induced cross-linking reaction can be induced in KMPR as it is in SU-8. However, the formation of filamentary rods (channel propagation) cannot be explained sufficiently solely by the cross-linking reactions. When laser pulses are focused using a high-NA objective lens (≥ 1.2), we cannot observe the formation of filamentary rods. The amplitudes of refractive index increases of KMPR are very small (less

Figure 7.

SEM images of filamentary rod patterns formed using femtosecond laser lithography. Reprinted with permission from Optics Express. Copyright 2008, Optical Society of America.

than 2.0 × 10-3) before and after post-exposure baking in the case of ultraviolet exposure. Therefore, when laser pulses are focused using a high-NA lens as described in most previous reports, the coupling of incident laser pulses to guided modes of a polymerized waveguide is strongly suppressed because of large mismatch of NA, assuming that laser-induced refractive changes are similar to those by ultraviolet exposure. In contrast, the laser pulses can be coupled to the guided modes effectively in the case of low-NA focusing. The filamentary rods in fig. 7 were most likely to be formed by laser-induced thermal cross-linking reactions of KMPR followed by effective coupling of subsequent laser pulses to a polymerized waveguide. As mentioned in section 2, the photo-modified region, where the laser intensity exceeds a certain threshold value for TPA, is an ellipsoid. The diameters of pointed ends of the ellipsoids were approximately 400 nm, which corresponds to one-fifth of the diffraction-limit 1.9 μm. Using a pointed end of a filamentary rod, high spatial resolution was obtained, even with low-NA focusing.

Advertisement

5. Fabrication of microlenses and micro-Fresnel lenses

In this section, we present the fabrication of three-dimensional surfaces of SiO2-based glasses on planar wafers using FLAM (Nishiyama et al., 2009). Figure 8 shows a schematic illustration of the laser writing procedure of microlenses. Lens patterns of two types (sample 1 and sample 2) were written directly inside resists. Then, the patterns were transferred to underlying GeO2-SiO2 glass films. The GeO2-SiO2 thin films were deposited onto SiO2 substrates using plasma-enhanced chemical vapor deposition. The lenses consist of a series of concentric circles of different radii and heights. The distance dr between adjacent circles was 300 nm. When the dr was larger than 300 nm, the trace lines of laser-spot were often visible. The average laser power and the laser translation speed were, respectively, 33 mW and 300 μm/s.

Figures 9(a)-9(d) present SEM images of two-dimensional arrays of resist microlenses. The respective diameters and curvature radii of sample 1 and sample 2 were 90 and 200 μm (Figs. 9 (a) and 9(b)) and 38 and 27 μm (Figs. 9 (c) and 9(d)), respectively. It is apparent that uniform patterns were obtained. Although several steps were visible on the surfaces of sample 1 because of the large curvature radius, the lenses of sample 2 have smooth surfaces. Figure 10 portrays optical microscope images of focal spots from GeO2-SiO2 microlenses when 633-nm-wavelength He–Ne laser light was normally coupled to the lenses. The curvature radii increased during pattern transfers because of the difference of etching rates between the resist and GeO2-SiO2. The beam diameters were 3.0 μm. It is apparent that all lenses exhibited almost identical behaviors.

Figure 8.

Direct laser writing procedure for microlenses.

Figure 9.

SEM images of two types of resist microlens. Reprinted with permission from Applied Surface Science. Copyright 2009, Elsevier.

Figure 10.

Optical microscope images of focal spots from a GeO2-SiO2 glass microlens array fabricated using femtosecond laser lithography. Reprinted with permission from Applied Surface Science. Copyright 2009, Elsevier.

Figure 11.

SEM images of two-dimensional array of resist micro-Fresnel lenses.

Figure 11 presents SEM images of two-dimensional arrays of the resist micro-Fresnel lens with blazed structures. These patterns were transferred to SiO2 glass substrates using plasma etching. The inner radius r m of m-th zone and cross-sectional profile are expressed using the following equations.

r m = 2 m λ 0 f + ( m λ 0 ) 2 E1
S ( r ) = m λ 0 n 1 + c r 2 1 + 1 ( k + 1 ) c 2 r 2 E2
k = n 2 E3

Therein, r signifies the distance from the center of the Fresnel lens (r m rr m+1 ); λ 0 , n, and f respectively denote the operation wavelength, refractive index, and primary focal length. Using these relations, the maximum radius and the primary focal length of a SiO2 Fresnel lens were designed, respectively, to be 240 and 2800 μm. The operation wavelength was 633 nm.

Figure 12.

Laser microscope image of a SiO2 micro-Fresnel lens. Three-dimensional surfaces such as slopes and convex shapes can be obtained.

Considering that the etch selectivity (SiO2/KMPR) during pattern transfers is 0.25, the resist heights were determined to be four times higher than those of transferred SiO2 counterparts. The Fresnel lens patterns were written in KMPR under laser exposure conditions similar to those for GeO2-SiO2 microlenses. From laser microscope images of a SiO2 Fresnel lens after pattern transfers in Fig. 12, well-defined three-dimensional surfaces of slopes and hemispherical shapes can be confirmed. The primary focal length was measured to be 2790 μm for He–Ne laser light, which agreed well with the theoretical value. The diffraction efficiencies were about 80%, which was two times higher than the theoretical maximum efficiencies of binary lenses.

Advertisement

6. Fabrication of diffractive–refractive hybrid lenses

The maximum diameters of the photo-modified region are determined mainly using threshold processes of the laser electric field. Consequently, constant pattern widths are expected to be formed at any position in resists. Simultaneously, high spatial resolution is achievable. These properties are great advantages over conventional single-photon lithography. Figure 13 shows SEM images of Si lines across a 65-μm-deep step (Mizoshiri et al., 2008). Wall-like patterns were written directly inside a positive-tone resist PMER on a step using TPA process. Subsequently, the patterns were transferred to the underlying step using plasma etching. Constant linewidths of approximately 5 μm were observed clearly at every depth position on a step. This linewidth is one order of magnitude less than that formed using the spray-coating method.

The binary Fresnel zone plates were fabricated on convex microlenses for SiO2 hybrid diffractive–refractive lenses (Nishiyama et al., 2008). The direct laser writing procedure is presented in Fig. 14. The Fresnel zone plates were formed by translating a laser-induced filamentary rod three-dimensionally inside KMPR on convex lenses. The respective diameter, height, curvature radius, and primary focal length of individual microlens were 240, 18.9, 380, and 830 μm. The primary focal length of the hybrid lens can be determined using eq. (4), as

Figure 13.

SEM images of Si lines across a 65-μm-deep step. Reprinted with permission from Applied Physics Express. Copyright 2008, The Japan Society of Applied Physics.

Figure 14.

Direct laser writing procedure for SiO2 diffractive–refractive hybrid microlenses.

1 f h = 1 f m + 1 f F E4

where f h , f m and f F respectively represent the primary focal lengths of a hybrid lens, a convex microlens, and a Fresnel lens. The inner radius R m of the m-th zone of the Fresnel zone plate can be expressed as R m = m f F λ 0 Hybrid lenses with primary focal lengths of 617 μm were obtained by fabricating Fresnel zone plates of the focal length of 2700 μm on convex lenses. Figures 15(a) -15(c) show SEM images of the Fresnel zone plates on the convex lenses before and after the pattern transfers. We could obtain well-defined structures even on non-flat substrates. When He–Ne laser light was normally coupled to a SiO2 hybrid lens, the primary focal length was shifted by 216 μm because of hybridization. This shift amount was close to the theoretical value of 213 μm, indicating that FLAM is an effective means for fabrication of precisely controlled three-dimensional surfaces. As described in the section 4, the spatial resolution as high as 400 nm is achievable in spite of low-NA focusing. For that reason, FLAM is expected to be useful for the formation of more complicated structures even on non-flat structures.

Advertisement

7. Future prospects

In FLAM, the laser pulses are focused using a low-NA objective lens to fabricate structures of various materials, irrespective of their optical transparencies and thicknesses. Consequently, the aspect ratios of the resist patterns become high, as shown in Fig. 7. Therefore, we cannot obtain high spatial resolution in the vertical direction. On the other hand, the use of high-NA objective lens is not desired because of the strong restrictions of the exposure setup and the choice of target materials by short working-distance. To address such problems, laser beam shaping techniques using diffractive elements and other processes will be of growing importance over the next few years.

Figure 15.

SEM images: (a) overview, (b) enlarged view of SiO2 hybrid lenses, and (c) a resist Fresnel zone plate on a convex lens. Reprinted with permission from Optics Express. Copyright 2008, Optical Society of America.

Femtosecond laser lithography is an attractive technique for the formation of three-dimensional polymeric structures. However, this technique is also a serial process by which microstructures are formed one point at a time. Consequently, significant improvement of its throughput is an important issue for scale-up to the wafer-level mass production. Kato et al. demonstrated parallel fabrication with femtosecond laser lithography by irradiation through a microlens array (Kato et al., 2005). By scanning multiple focal spots inside a photopolymer, they fabricated individual 227 individual three-dimensional structures simultaneously on a wafer. The fabrication time was as short as 5 min. Fourkas et al. reported a replication technique of three-dimensional microstructures generated using femtosecond laser lithography, referred to membrane-assisted microtransfer molding technique (LaFratta et al., 2006). Using this technique, one can reproduce even structures with closed loops such as arches using membranes formed inside original structures and highly elastic PDMS molds. In addition, several approaches using multi-beam interference (Kondo et al., 2003), spatial light modulators (Hayasaki et al., 2005), and phase masks (Jeon et al., 2004) are studied intensively to enhance the throughput of femtosecond laser lithography. Through further studies of these approaches, femtosecond laser nonlinear lithography is expected to play an essential role in the development of novel functional devices in various fields such as photonics, microelectromechanical systems, and microfluidics.

Advertisement

8. Conclusion

In this chapter, we presented the fundamentals of femtosecond laser nonlinear lithography and demonstrated the fabrication of three-dimensional surfaces of inorganic materials using FLAM. We can write complex structures directly inside resists using TPA. Simultaneously, high spatial resolution below the diffraction limit was achievable in spite of low-NA focusing. Using such unique properties, the semiconductor fabrication technology was extended to microfabrication on non-flat substrates. Optimization of lithographic conditions can realize devices with more functionality and with finer and more complicated three-dimensional surfaces.

Advertisement

Acknowledgments

The authors thanks Ms. M. Mizoshiri (Osaka Univ.) for the assistance in experiments, and Prof. J. Nishii (Hokkaido Univ.) for helpful discussions.

References

  1. 1. Deubel M. Freymann G. Wegener M. Perira S. Busch K. Soukoulis C. M. 2004 Direct laser writing of three-dimensional photonic-crystal templates for telecommunications, Nature Materials, 3 444-447.
  2. 2. Fujita T. Nishihara H. Koyama J. 1982 Blazed gratings and Fresnel lenses fabricated by electron-beam lithography, Optics Letters, 7 12 578-580.
  3. 3. Göppert-Mayer M. 1931 Über Elementarakte mit zwei Quantensprüngen, Annalen der Physik, 401 3 273-294.
  4. 4. Hayasaki Y. Sugimoto T. Takita A. Nishida N. 2005 Variable holographic femtosecond laser processing by use of a spatial light modulator, Applied Physics Letters, 87 0311011-0311013.
  5. 5. Herzig H. P. 1997 Micro-Optics: Elements, Systems and Applications, Taylor & Francis, 978 0748404810 .
  6. 6. Hirai Y. Inamoto Y. Sugano K. Tsuchiya T. Tabata O. 2007 Moving mask UV lithography for three-dimensional structuring, Journal of Micromechanics and Microengineering, 17 199-206.
  7. 7. Jeon S. Park J. U. Cirelli R. Yang S. Heitzman C. E. Braun P. V. Kenis P. J. A. Rogers J. A. 2004 Fabricating complex three-dimensional nanostructures with high-resolution conformable phase masks, Proceedings of the National Academy of Sciences, 101 34 12428-12433.
  8. 8. Juodkazis S. Mizeikis V. Seet K. K. Miwa M. Misawa H. 2005 Two-photon lithography of nanorods in SU-8 photoresist, Nanotechnology, 16 846-849.
  9. 9. Kato J. Takeyasu N. Adachi Y. Sun H. B. Kawata S. 2005 Multiple-spot parallel processing for laser microfabrication, Applied Physics Letters, 86 0441021-0441023.
  10. 10. Kaiser W. Garrett C. G. B. 1961 Two-photon excitation in CaF2:Eu2+, Physical Review Letters, 7 6 229-232.
  11. 11. Kawata S. Sun H. B. Tanaka T. Takada K. 2001 Finer features for functional microdevices, Nature, 412 697-698.
  12. 12. Kewitsch A. S. Yariv A. 1996 Self-focusing and self-trapping of optical beams upon photopolymerization, Optics Letters, 21 24-26.
  13. 13. Kondo T. Matsuo S. Juodkazis S. Misawa H. 2001 Femtosecond laser interference technique with diffractive beam splitter for fabrication of three-dimensional photonic crystals, Applied Physics Letters, 79 6 725-727.
  14. 14. Kondo T. Matsuo S. Juodkazis S. Mizeikis V. Misawa H. 2003 Multiphoton fabrication of periodic structures by multibeam interference of femtosecond pulses, Applied Physics Letters, 82 17 2758-2760.
  15. 15. La Fratta C. N. Li L. Fourkas J. T. 2006 Soft-lithographic replication of 3D microstructures with closed loops, Proceedings of the National Academy of Sciences, 103 23 8589-8594.
  16. 16. Maruo S. Nakamura O. Kawata S. 1997 Three-dimensional microfabrication with two-photon-absorbed photopolymerization, Optics Letters, 22 132-134.
  17. 17. Maruo S. Ikuta K. 2000 Three-dimensional microfabrication by use of single-photon-absorbed polymerization, Applied Physics Letters, 19 2656-2658.
  18. 18. Maruo S. Ikuta K. Korogi H. 2003 Force-controllable, optically driven micromachines fabricated by single-step two-photon microstereolithography, Journal of micromechanical systems, 12 5 533-539.
  19. 19. Mizoshiri M. Nishiyama H. Kawahara T. Nishii J. Hirata Y. 2008 SiO2-based hybrid diffractive-refractive lenses fabricated by femtosecond laser-assisted micromachining, Applied Physics Express, 1 12 1270011-1270013.
  20. 20. Mizoshiri M. Nishiyama H. Nishii J. Hirata Y. 2010 Three-dimensional SiO2 surface structures fabricated using femtosecond laser lithography, Applied Physics A, 98 171-177.
  21. 21. Nishiyama H. Mizoshiri M. Kawahara T. Nishii J. Hirata Y. 2008 SiO2-based nonplanar structures fabricated using femtosecond laser lithography, Optics Express, 16 22 17288-17294.
  22. 22. Nishiyama H. Mizoshiri M. Nishii J. Hirata Y. 2009 Microlens arrays of high refractive index glass fabricated by femtosecond laser lithography, Applied Surface Science, 255 9750-9753.
  23. 23. Park S. H. Lee S. H. Yang D. Y. Kong H. J. Lee K. S. 2005 Subregional slicing method to increase three-dimensional nanofabrication efficiency in two-photon polymerization, Applied Physics Letters, 87 1541081-1541083.
  24. 24. Radtke D. Zeitner U. D. 2007 Laser-lithography on non-planar surfaces, Optics Express, 15 1167-1174.
  25. 25. Reinhardt C. Passinger S. Chichkov B. N. Marquart C. Radko I. P. Bozhevolnyu S. I. 2006 Laser-fabricated dielectric optical components for surface Plasmon polaritons, Optics Letters, 31 9 1307-1309.
  26. 26. Seet K. K. Juodkazis S. Jarutis V. Misawa H. 2006 Feature-size reduction of photopolymerized structures by femtosecond optical curing of SU-8, Applied Physics Letters, 89 0241061-0211063.
  27. 27. Serbin J. Egbert A. Ostendorf A. Chichkov B. N. Houbertz R. Domann G. Schulz Cronauer. C. Fröhlich L. Popall M. 2003 Femtosecond laser-induced two-photon polymerization of inorganic-organic hybrid materials for applications in photonics, Optics Letters, 28 5 301-303.
  28. 28. Serbin J. Ovsianikov A. Chichkov B. 2004 Fabrication of woodpile structures by two-photon polymerization and investigation of their optical properties, Optics Express, 12 21 5221-5228.
  29. 29. Singh V. K. Sasaki M. Hane K. Watanabe Y. Takamatsu H. Kawakita M. Hayashi H. 2005 Deposition of thin and uniform photoresist on three-dimensional structures using fast flow in spray coating, Journal of Micromechanics and Microengineering, 15 2339-2345.
  30. 30. Straub M. Nguyen L. H. Fazlic A. Gu M. 2004 Complex-shaped three-dimensional microstructures and photonic crystals generated in a polysiloxane polymer by two-photon microstereolithography, Optical Materials, 27 359-364.
  31. 31. Suleski T. J. O’Shea D. C. 1995 Gray-scale masks for diffractive-optics fabrication: Ι. Commercial slide imagers, Applied Optics, 34 32 7507-7517.
  32. 32. Sun H. B. Kawakami T. Xu Y. Ye J. Y. Matsuo S. Misawa H. Miwa M. Kaneko R. 2000 Real three-dimensional microstructures fabricated by photopolymerization of resins through two-photon absorption, Optics Letters, 25 15 1110-1112.
  33. 33. Yu T. Ober C. K. Kuebler S. M. Zhou W. Marder S. R. Perry J. W. 2003 Chemically amplified positive resists for two-photon three-dimensional microfabrication, Advanced Materials, 15 6 517-521.
  34. 34. Wu S. Serbin J. Gu M. 2006 Two-photon polymerization fro three-dimensional micro-fabrication, Journal of Photochemistry and Photobiology A: Chemistry, 181 1-11.

Written By

Hiroaki Nishiyama and Yoshinori Hirata

Published: 01 February 2010