Open access

CO2 Laser Produced Tin Plasma Light Source as the Solution for EUV Lithography

Written By

Akira Endo

Published: 01 February 2010

DOI: 10.5772/8175

From the Edited Volume

Lithography

Edited by Michael Wang

Chapter metrics overview

5,872 Chapter Downloads

View Full Metrics

1. Introduction

High average power EUV light source has been the “most critical” issue in the research and development of the EUV lithography system in one decade. EUV LLC and International Sematech significantly stimulated the global research community to work seriously to advance plasma technology in achieving the goal of the EUV source, required by the semiconductor industry. It is instructive to look into the EUV lithography source workshop held in October 2001 in Matsue, Japan. MEDEA+ project consortium “EUV source” had started already in June 2001 in Europe including many public and private research organizations (Stamm, 2002). EUV source workshop was organized in Japan several times by ASET in 2000-2001 to evaluate the technical possibility to develop the required EUV source (Okazaki, 2001), which was then succeeded by EUVA project in 2002.

ASML and NIKON talked in the Matsue workshop as the required EUV power was more than 80W with faster than 5 kHz repetition rate, assuming the resist sensitivity as 2mJ/cm2. The requirement came from 80 wafers/hour throughput. The wavelength was confirmed at 13.5nm to optimize to the peak reflectivity of Mo/Si coated mirrors. This caused a serious concern on the scaling of the once established method based on Nd:YAG laser irradiated xenon gas plasma, in which the peak conversion efficiency was at 11nm. Nd:YAG laser irradiation of a gas puff target was a typical laboratory method in laser applications like higher harmonics generation, short wavelength generation, fast ion generation and so on (e.g., Fiedorowicz, 1999). TRW had been working closely with EUV LLC from 1997 to develop the first generation EUV light source, based on Nd:YAG laser irradiated xenon gas puff plasma. The obtained conversion efficiency was around 0.2%, with laser power of 500W by a single beam (Ballard, 2002). It was suggested to increase the density of xenon at longer distance from xenon nozzle to improve the conversion efficiency, together with higher laser power of better beam quality. It seemed still possible to work following the proposed direction, but experiments showed practical limitations around this approach. The author discusses in the following sections on each limitation in the history of the EUV source development, and describes each obtained solution, overcoming the limitation to realize the required source performance finally. The major work was conducted during the project of “Extreme Ultraviolet Lithography System Development Association (EUVA)” in Japan from 2002 to 2009.

Advertisement

2. Liquid xenon jet and fast ions

Typical conversion efficiency (CE), from input laser pulse energy to in band EUV energy (2π sr, 2% bandwidth), was 0.1% from a Nd:YAG laser irradiated xenon gas puff target. Cluster phase xenon was shown to generate higher EUV flux in the same experimental arrangement. Clusters were formed in the gas puff with higher back pressure of xenon in the nozzle (Mori et.al., 2001). Cryogenic liquid jet target was proposed by H.M.Hertz of Royal Institute of Technology, Sweden, as a useful tool for higher CE EUV target (Berglund, et.al., 1998). Advantages were; longer distance between nozzle tip and plasma, which was essential to avoid nozzle erosion due to plasma heat, initially higher density, smaller plasma size and fast jet speed to sustain more than 10 kHz laser irradiation. One of the disadvantages was excessive xenon gas injection into vacuum chamber due to evaporation of continuous liquid xenon jet, which in turn absorbed generated EUV light. Figure 1 is the concept of the xenon liquid jet. Continuous droplet target was proposed for liquid xenon to reduce the injected mass, so called mass limited target from TRW. Xenon jet and droplet targets were irradiated at 10 kHz repetition rate, with a short pulse Nd:YAG laser.

Figure 1.

Concept of liquid xenon jet and droplet targets for a laser produced plasma EUV source.

Condenser mirror (C1) lifetime is the most critical technical issue in a commercial EUV light source for the sake of lower cost of ownership (CoO). Multilayer erosion was not significant in the initial model of ETS EUV source, in which gas puff or cluster xenon target was employed. It was reported in February 2003 that one layer of Mo/Si coating was lost by 300 million laser shots of 500W average power, with the distance between plasma and condenser mirror as 15cm (Ballard, 2003). The life time of C1 was estimated as six months in this operational mode. Drastic erosion speed was reported after half year from EUV LLC in the case of liquid xenon spray jet target, in the 2nd international EUV Lithography Symposium in Antwerp, Belgium in September 2003 (Klebanoff, 2003). One layer was lost by 15 million laser shots, and the erosion was not geometrically symmetric. It strongly suggested an influence of fast particle emission during laser irradiation of dense spray target. Fast ions were already measured at 10cm from cryogenic liquid jet target by short pulse laser irradiation at 1016W/cm2 (Wieland, 2001). The kinetic energy of the emitted ions was more than 100keV from a nitrogen liquid jet target. The plasma temperature is typically 30eV for EUV emission, and the reason of the fast ion generation is not a simple thermal expansion. There were another two talks in the Antwerp Symposium on this subject. J.D.Gillaspy of NIST reported on the significant effect of highly charged xenon ions like Xe10+ responsible for the multilayer damage (Gillaspy, 2003). H.Komori of EUVA reported on the measurement of xenon ion distribution with Xe2+ as the main ion species and the dominant energy as 3keV at 1011w/cm2 laser irradiation of 8ns pulse width on a liquid jet xenon target (Komori, 2003). Etching rate was also reported by using a xenon ion gun with data confirming the multi layer loss rate in the ETS light source. Figure 2 is the result of the erosion of a test multilayer sample with an equivalent ion beam flux.

Figure 2.

Cross sections of a sample 10 layer Mo/Si mirror, before (left) and after (right) ion sputtering Several layers are lost and mixing of Mo/Si are observed.

CE was improved from 0.2% by a gas puff xenon target to 0.5% by a spray xenon target, but the C1 erosion was increased almost 10 times faster. It was clear for many researchers that any high density target like liquid xenon jet was not a simple solution for a practical EUV light source.

Advertisement

3. Scaling of laser power

It is useful to recall the discussion in Matsue Source Workshop on the scalability of the component technology for the required EUV source. TRW predicted as a 10kW laser power was needed to achieve 70W collectable EUV power with 1.4% CE from xenon liquid jet target. Xtreme assumed 60kW laser power for 200W EUV power with 1% CE. Mentioned laser power was something unimaginable as a short pulse solid state laser at the time of the workshop.

The first industrial CW, kW Nd:YAG laser was introduced into the market in the late 80’s. Main component was a flash lump pumped laser rod with water cooling in a series arrangement for high average power operation (Hodgson et.al., 2005). The beam quality was not good enough for fine focusing at high average power due to thermal depolarization and lens effect. Typical beam parameter product was almost 10 times worse than a CW CO2 laser at the same average power. Adaptive optics technology was studied to improve the beam quality, like phase conjugation or deformable mirror, by compensating the beam distortion inside the rod (Druon, et.al., 1998). After many works on the adaptive optics, fundamental solution was thought as to design an efficient cooling of the laser media, and new types of fiber (Nilsson et.al., 1993), thin disc (Giesen et.al., 1994) and slab (Shine et.al., 1995) lasers were started to be developed from early 90’s together with laser diode pumping for higher efficiency. Significant progress was achieved in the development of CW, high beam quality laser by slab, fiber, and thin disc configuration with Yb material together, in the last ten years achieving more than 10kW average power. Short pulse amplification is not as easy as CW operation due to higher peak power inside the optical components. Typical average power of high beam quality solid state laser is less than kW level in short pulse, high repetition rate mode in slab, fiber and thin disc types at the time of Photonics West 2009.

Initial generation of high average power, high repetition rate, short pulse Nd:YAG laser was started to be developed for EUV plasma ignition, based on the same technology of the series arranged rod amplifiers, from late 90’s. Beam distortion was also much significant inside the laser rod due to higher peak power in pulsed operation. It was experimentally shown that the practical maximum average power, typically at 10 kHz with 10 ns pulse width, was around 1kW from a single beam, even by laser diode pumping, based on the rod amplifier technology.

Multiplexing of laser modules was thought as a possible approach. Exulite program employed this scheme by using 500 W modules composed of two rods, from Thales SA, (Fay, 2003). 10 laser modules were arranged to focus onto a xenon gas jet target. It was shown that the arrangement was too complicated for alignment in a busy target chamber. The laser module was driven by two acousto-optic modulators with pulse length more than 30ns at 10 kHz.

An alternative approach for the driver laser was definitely required for high average power EUV source. One of the candidates as a high average power laser was DUV excimer laser, which was already used in lithography, flat panel display manufacturing and ink jet nozzle drilling. Typical output power was 300W in MOPA configuration at 300Hz repetition frequency for liquid crystal annealing application. Cymer tried to use injection seeded XeF laser for liquid Li target (Hansson et.al., 2004). EUVA used KrF laser for xenon jet target (Abe et.al., 2005). Both trials were abandoned due to two reasons. The first one was the shorter wavelength of the DUV lasers. Short wavelength optical wave penetrates deep into the plasma, and significant reabsorption of generated EUV light and cluster blow off are the problems. The second reason was unrealistic scaling of the DUV excimer laser towards multi 10kW output power.

The remaining candidate was the CO2 laser only, for multi 10kW average power in pulsed mode. CW CO2 lasers are the most frequently used lasers in industry due to their comparably low initial and operational costs, as well as their robustness and reliability with environmentally non toxic nature. CO2 lasers are also operated in long pulsed mode for certain applications. RF-excitation is the most commonly employed scheme in axial flow or conduction cooled slab/waveguide configurations. The design guideline of a multi kW short pulse CO2 laser system is described in this section. Its main characteristics are high repetition rate, high pulse energy, high amplification efficiency and high beam quality. The system is based on commercial high average power CO2 laser modules, used as amplifiers.

Medium average power pulsed CO2 laser systems are very successful tools for various applications ranging from material processing of metals, glass, ceramics and epoxy, paint removal and medical or spectroscopic applications, to the generation of laser produced plasmas as UV, EUV and soft X-ray sources. One drawback is the limited repetition rate of TEA CO2 laser based source, another drawback is limited controllability of the pulse width in low pressure microwave excited lasers. Attempts were reported in early 90’s to operate microwave excited CO2 laser modules in a Q-switched oscillator mode of CW 2kW device (Sakai et.al., 1994) and an oscillator-amplifier mode of CW 7kW system (Bielesch et.al., 1992). Typical performances were at the repetition rate of 4 kHz with output average power of 680 W with pulse energy of 170 mJ and pulse width in full width half maximum (FWHM) of 250 ns, and at the repetition rate of 10 kHz with average power of 800W, with pulse energy of 70 mJ, and 35 ns pulse width, respectively. Laser extraction efficiencies, however, were not very high in both cases in the short pulse mode. Commercially available short pulse CO2 laser oscillator was known typically as EOM-10 from De Maria Electro Optics Systems, Inc (now Coherent Inc). The specification was average power of 10W at 100 kHz repetition rate with 15ns pulse width. Systematic laser development was started in the EUVA laboratory in Hiratsuka, Japan from 2004 aiming at short pulse, 10kW level CO2 laser technology (Endo et.al., 2006).

A short pulse oscillator was installed as the seeder for the amplifiers. The laser was an EO Q-switched, 15~30 ns, single P(20) line, RF pumped waveguide CO2 laser with 60 W output at a repetition rate of 100 kHz. The repetition rate was tunable as 10~140 kHz.

Commercial 5 kW and 15 kW CW CO2 lasers were installed in the laboratory as amplifiers. Every unit is 13.56 MHz RF-excited, fast axial flow lasers from Trumpf Inc. Lasers were modified as amplifiers by replacing both cavity mirror with ZnSe windows. The 5 kW laser used a standard gas composition of CO2:N2:He=5:29:66 at 120 Torr gas pressure. The axial gas flow speed was sufficiently high to keep the laser gas temperature low inside the operational condition. The length of a single gain region was 15 cm, and 16 cylindrical gain regions were connected in series in one laser unit; the tube inner diameter was 17mm. The total length of the optical pass inside the laser was 590 cm. The laser operated at 5 kW CW output power with a M2 =1.8 beam quality. The electrical input power was 36 kW. The 15 kW laser as the main amplifier, used a standard gas composition of CO2:N2:He=2:10:48 at 150 Torr gas pressure. The length of a single gain region was 28 cm, and 16 active cylindrical gain regions were connected in series; the tube inner diameter was 30 mm. The total length of the optical pass inside the laser was 890 cm. The maximum electrical input power was 88 kW. The key parameters of the amplifier are the extraction efficiency and beam quality. A series of experiments were performed to clarify these parameters to estimate the final possible values (Hoshino et.al., 2008).

The maximum average output power of 8 kW was obtained at a repetition rate of 100 kHz with 3kW input power to the main amplifier. Efficient short pulse amplification with RF-pumped gain modules, requires that parasitic oscillations and/or optical coupling between amplifier modules do not exist, and which was experimentally verified. It was successful to extract 5kW power in pulsed mode from CW 15kW laser. The extraction efficiency ((output power-input power)/ CW output power) was over 30%. Filling factor is the parameter to occupy the amplifier active volume with injected laser beam. Extraction efficiency was measured with the filling factor as the parameter. Once the average power was restricted about 6kW, extraction efficiency depended on the filling factor. It indicated a possibility to extract more power from preamplifier stage by optimizing the input flux.

The laser beam quality was measured with a ZnSe lens of 508mm focal length and a slit-scan type beam profiler (Photon Inc., NanoScan). The laser beam size at the lens focus was measured for the oscillator and amplifier, resulting in a beam quality factor M2 as 1.1. Especially, the laser beam size was identical before and after amplification, i.e. the amplification did not cause any phase distortion. Fig.3 shows a typical beam profile. Fig.4 shows the temporal laser pulse profile of the amplified laser output. The pulse duration was 20 ns (FWHM) and the pedestal was below 10% of the total pulse energy. A pedestal and/or tail of the seed laser pulse could be amplified and reduce the laser gain. There was no harmful further amplification of back scattering light from tin (Sn) solid target in the amplifiers after full depletion of laser gain.

After the series of laser experiments, it was concluded that the reasonable output laser power is 20kW from a single amplifier chain (Endo, 2007). Additional amplifier of CW 20kW power device increased the output power to 13kW (Endo, et.al., 2008). The critical issue is the thermal distortion of the optical components (windows and mirrors) inside the laser system. Present effort is now focused on the improvement of the extraction efficiency, by using slab amplifier and multiline amplification (Nowak et.al, 2008).

Figure 3.

CO2 laser beam of M2=1.1.

Figure 4.

CO2 laser pulse shape with low pedestal.

Advertisement

4. Laser wavelength and EUV conversion efficiency

The conversion efficiency (CE), from the input laser pulse energy to the generated EUV pulse energy at 13.5nm (2% bandwidth, 2π sr), is the major parameter for improvement in high average power EUV light source for better economy. The next step, which was necessary after the laser power availability by CO2 laser, was to confirm the practical conversion efficiency by 10 μm wavelength laser pulse. Pulsed CO2 laser was often employed in laser plasma experiments until 80’s, but gradually disappeared from laboratories after improvements of solid state pulsed lasers. It was once employed as a driver of a plasma X-ray laser from a carbon target (Suckewer, et.al., 1983).

The first report of the CE measurement by a pulsed CO2 laser appeared in 2004 from a research group of Kyushu University (Tanaka et.al., 2004). They observed a Nd:YAG comparable CE from xenon gas and cryogenic target by long (100ns) CO2 laser pulse. The results were more or less unexpected for many EUV source researchers. It was believed in general that the CO2 laser wavelength was too long to heat up the plasma efficiently. More systematic experiments were performed in EUVA Hiratsuka Laboratory by using a xenon liquid jet target and Nd:YAG pre-pulse together with a short pulse width CO2 laser as the main heating source (Komori, et.al., 2006), ( Ueno, et.al., 2007). Optimization of the delay time between pre-pulse and main pulse was adjusted for the highest CE from a xenon liquid jet target. It was measured that the density optimization of the initially dense xenon target by a pre-pulse, was essential to raise the CE by a short pulse length CO2 laser. Maximum CE of 0.6% was measured in the experiment. Another report was given from Kyushu University group that a tin target gave 2% CE by CO2 laser irradiation. The combination of CO2 laser wavelength with tin indicated the best matching for higher CE. CO2 laser gave the maximum CE at the laser irradiation intensity lower than Nd:YAG laser case (Tanaka, et.al.,2007). Further improvement of CE was reported in a case of tin cavity target close to 5% (Ueno,et.al., 2007). A cavity of 200 μm depth on a planar tin was irradiated by a 10 Hz short (10ns) CO2 laser pulse with a ZnSe lens by 60mm focusing length. The focal spot diameter was 100 μm, and the irradiation intensity was 1-3 x 1010 W/cm2. It was concluded from the experiments on the origin of higher CE compared to Nd:YAG irradiation, that the self absorption of the generated EUV emission by surrounding plasma reduced the CE. CO2 laser wavelength is ten times longer than the one of Nd:YAG laser, and the plasma density is hundred times lower, which in turn results in a lower EUV self re-absorption. Plasma spectrum is less affected by the self absorption in the case of CO2 laser irradiation of tin. The results were confirmed experimentally by UCSD group independently (Tao et.al., 2009). It is now established that CO2 laser power is scalable to 10kW level, and the CE is high enough to achieve more than 100W intermediate focus (IF) EUV power from a reasonable scale device.

Numerical simulation by a radiation hydro code gave a higher CE up to 8% in the best optimization of the pre-plasma condition by a pre-pulsed tin plasma (Nishihara et.al., 2008). The increase of the CE was explained due to increased CO2 laser absorption in a broader critical density region generated by an optimum pre-pulse irradiation. The numerical model predicted 10ns laser pulse length as the optimum one for the highest CE in 2-dimensional model. Figure 5 shows the measured CE from tin plasma generated from a cavity target by Nd:YAG and CO2 lasers. Figure 6 shows the spectrum from tin plasma generated by Nd:YAG and CO2 laser. Both spectra were overlapped with its peaks as the same value.

Figure 5.

CE from tin cavity target.

Figure 6.

Spectrum from tin plasma.

Advertisement

5. Wavelength dependence of ablation particles

Laser ablation generates fast nano particles, vapors, fragments etc through a complex physical process. Wavelength dependence of the process was studied intensively in 2006 (Ueno, et.al., 2007). The motivation of the work was to evaluate the effect on the life time of the large EUV collector (C1) mirror.

The experiment was performed to evaluate the behavior of a QCM (Quartz Crystal Micro Balance) detector located from a plasma plume generated by a tin plate target by a 6ns Nd:YAG laser and 10ns TEA CO2 laser. The QCM signal increased continuously during Nd:YAG laser irradiation, which meant a continuous tin particle deposition on the surface. It was observed at all angles, but the amount of deposition increased faster at a position closer to the laser beam axis, i.e. closer to the target normal. Completely different behavior was observed in the case of CO2 laser irradiation on the QCM located close to the laser irradiation axis, which meant a continuous sputtering. The absolute signal amplitude was smaller than the case of Nd:YAG irradiation. Measurement of a silicon sample surface after irradiation confirmed the phenomena. Physical reason of the behavior was the difference of the wavelength of lasers. The critical density is two magnitudes lower in the case of CO2 laser irradiation, which in turn benefits the lower number of ablated tin particles.

Ion signals were measured by Faraday cup detectors placed inside the vacuum chamber. The ion signals were almost identical for both Nd:YAG and CO2 laser irradiation in the same EUV in-band energy. No major difference of the total ion current was observed. This measurement indicated the sputtering effect of a QCM surface was identical for both case. It was then concluded that the generated neutral particles were 1000 times more in the case of Nd:YAG laser irradiation.

The difference of the plasma behavior is summarized based on these measurements as table 1. CO2 laser generated tin plasma has a higher CE, narrower spectral bandwidth, 1000 times fewer neutral particles.

Advertisement

6. Magnetic field control of plasma particles

A magnetic confinement of ablation plasma was tested in earlier experiments (Nd:YAG, CO2 laser + xenon jet) with a coil pair. The maximum magnetic field was 0.6 Tesla, where reduction of the QCM surface was observed for both cases (Komori, 2006). A new series of experiments were performed to evaluate tin ablation effect on the surrounding surface in

Table 1.

Comparison of CO2 and Nd:YAG laser generation of EUV tin plasma.

higher magnetic field (Ueno et.al., 2008). A vacuum chamber of 50mm active height and 530mm diameter was fabricated and installed inside a normal conductance magnetic field of up to 1.1Tesla. A movable tin plate target was positioned at the center of the chamber, with Mo/Si test mirror or QCM detector 60mm apart from the plasma, and Faraday Cups 100mm apart. The vacuum level was kept as 10-3 Pa during the experiment. The plasma behavior was measured as 2 dimensional phenomena in this experiment. CO2 laser irradiation was at 1-3 x 1010W/cm2 focused intensity on the normal incidence tin plate target typically with 2.0%-2.5% CE.

Time of flight ion signal showed peak energy of 0.9keV, with maximum energy of 4keV. Deposition of tin vapor was observed on the sample mirror located off axis of the laser irradiation, as 3nm/105 laser shots, and evaluated as the results of the balance between sputtering and deposition of fast and slow ions. The ion signal vanished completely with 1 Tesla magnetic field under signal noise level. Tin deposition speed was decreased to 0.6nm/105 laser shots, which was 20% of the case of no magnetic field. It was concluded that ablation plume consisted of more than 80% as ions in this experiment. Recent experiment indicates that recombination of tin ions plays additional contribution to the neutral generation during plasma flow.

A large volume vacuum chamber was fabricated to evaluate the behavior of plasma plume in a 3 dimensional space in higher magnetic field (Endo, et.al., 2008). A superconducting magnet of maximum 3 Tesla installed the vacuum chamber inside the magnet bore. A tin plate was fixed on the magnetic axis at the edge of the magnet, and irradiated by a Nd:YAG laser with 30mJ pulse energy, 5ns pulse duration to give the intensity up to 1.6 x 1011 W/cm2. A well collimated plasma flow was observed along the magnetic field. A large diameter (44mm) Faraday Cup was installed on the beam pass of the plasma stream at 300mm from the plasma generation position. The measured plasma current had pulse

Figure 7.

Plasma images without (left) and with (right) horizontal magnetic field.

duration of 20 μs with peak current of 5A. The total charge was 0.1mC. It was concluded from the measurement that ions generated in the ablation process were efficiently transported along the magnetic field line to the exhaust. The remaining contamination of the surroundings is concluded as neutral tin vapor deposition. The origin and behavior of the neutral tin vapor is the key to fully control the chamber contamination.

Advertisement

7. Behavior of tin vapor after ablation of a droplet target

Charged particles are fully controllable with a magnetic field with less than 1 Tesla, and exhausted to a plasma collector installed outside of the active region. More detailed observation of solid state tin behavior relating with its rapid phase change, is necessary to evaluate its deposition inside the EUV chamber and on the collector (C1) mirror.

A mass limited, micro droplet of liquid tin is employed as the plasma target in an actual EUV source. Initial conditioning of the density distribution of tin particles is essential for higher CE and less contamination, and a small pre-pulse is used to disperse tin particles before main CO2 laser irradiation. Breakup of liquid droplet by a Nd:YAG laser as a pre-pulse, was observed as “fragmentation” of liquid tin droplet (Nakano et.al., 2008). The measurement was by a shadow graph method as the size of the fragments is less than μm diameter. The typical speed of the fragments was quite slow around 10m/s. Fig. 8 shows a typical fragmentation of a 60 μm diameter liquid tin droplet (selected from droplet train) 16μs after irradiation by a Nd:YAG laser with a few mJ pulse energy. Laser irradiation was from right hand side to the droplet.

Figure 8.

Droplet fragmentation.

Kyushu University group reported on the measurement of the ablation dynamics of a tin micro droplet by double pulse laser irradiation (Nakamura et.al., 2008). Laser induced fluorescence imaging (LIF) was employed to observe the behavior of neutral particles after pre-pulse irradiation by a Nd:YAG laser of a 30μm diameter solid tin droplet. The neutral tin atoms showed isotropic expansion at fast speed up to 40km/s (less than 1keV), and LIF signal continued more than 5μs. Main CO2 laser irradiation was optimized with time delay of 1μs for higher CE and full ionization of tin particles.

Further experiments were performed by EUVA group by using a liquid tin droplet as the target (Ueno, et.al., 2009). LIF signal continued longer than the solid target case with higher signal level. The observed behavior is similar to the “Spallation” of metal foil target, but the speed of neutral particles is faster than the typical spallation (Coq-Lelandais, et.al., 2009). Laser induced shock wave inside a spherical object, and fast electron motion in an electrically isolated metal droplet, may play the essential role in this phenomena (Sokollik, et.al., 2009). Spallation neutral tin atoms have kinetic energy lower than sputtering threshold of Mo/Si multilayer, and contribute to tin nano-deposition inside the chamber. Fig. 9 is a LIF image of neutral tin atoms after 10 μs from a pre-pulse laser irradiation by spallation from a 100 μm diameter liquid droplet. Diffusion is spatially uniform.

Figure 9.

LIF image of tin neutral atoms.

Advertisement

8. Modeling of tin vapor in microscopic and macroscopic approach

Modeling of laser ablation has a long tradition in the field of laser-matter interaction. Analytical modeling of a hydrodynamic system is a conventional method so far. Target is a planar shape metal or non metal material. More precise atomic modeling has been emerging as a molecular dynamics method (MD), based on the interaction between particles (Zhigilei, et.al., 1998). The situation in the spherical liquid tin droplet ablation is complex enough to treat only by a standard numerical method. A microscopic MD modeling has been developed based on a modified Lennard-Jones potential for tin to predict the rapid behavior of tin phase change (Masnavi et.al., 2009). The heating speed was assumed as a modeling parameter around 1013 k/s. The calculated P-V diagram showed a strong temperature hysteresis of heating and cooling of tin. The calculation gives the equation of state (EOS) for tin, which is then used in a 1D numerical hydrodynamic calculation for the optimization of pre-pulse irradiation for moderate neutral particle diffusion. The resulting macroscopic

Figure 10.

MD simulation of fast (left) and slow (right) heating of tin to 3500K.

fluid motion gives the impulse to the remaining droplet to move opposite to the laser irradiation into dispersion.

A macroscopic behavior of the fragmentation of the tin droplet is modeled by the emerging Moving Particle Semi-implicit (MPS) method (Koshizuka. et.al., 1996) With a given large scale parameter like surface tension, density etc, fragmentation of the droplet is modeled with the impulse as a given parameter. The calculated behavior of the test tin droplet has a good agreement with experiments.

Optimization of the pre-pulse irradiation, time delay to the main CO2 laser pulse, and main pulse irradiation itself, is possible through the analysis of the laser ablation process of tin droplet, which has unique characteristics as a laser ablation.

Advertisement

9. Remaining tasks

The basic architecture of high average power EUV source is established, and the main effort is now shifting to an engineering demonstration of the system in real work places. Cymer is the leading company in this field, and trying to deliver multiple systems to global semiconductor industry (Fomenkov, et.al., 2009). It is now intuitive to notice a similarity among different categories of high average power, pulsed laser driven applications, namely laser inertial fusion and laser isotope separation. The system size is extremely different compared to these huge energy applications, but the key configuration has interesting analogies. It is discussed in the High Average Power Laser Program (HAPL), which is aiming at engineering design of a laser fusion reactor at 10Hz of 1MJ laser pulse energy, on a magnetic intervention to keep off the ions from the wall. Fusion target is injected as a pellet of 1mm diameter at 10 Hz. Averaged material injection speed is similar to the case of EUV source, in which 30μm diameter droplet is injected at 100 kHz repetition rate. Fuel recovery cycle is also designed (Sethian, 2006).

Ion sputtering (initially the fatal obstacle for the EUV light source design), is now fully avoided by the magnetic plasma guiding. Metal vapor generation was studied experimentally and numerically, and understood well on the behaviour. Slow tin vapor deposition inside the chamber is the last subject to be treated to prevent the loss of reflectivity of the C1 mirror. Laser isotope separation was once studied intensively more than a decade ago, in which metal vapor handling was the main technological subject. Isotope separation is based on the selected laser resonant ionization of different isotopes of the same atomic species (Bokhan, et.al., 2006). Resonant ionization technology was reported on tin vapor for efficient ion gun design (Liu. et.al., 2006). Full ionization of tin vapor, generated during the droplet dispersion, seems the straightforward way to exhaust tin atoms from the active region of the chamber. It seems also meaningful to learn from experiences of metal vapor laser engineering on the protection of windows from contamination (Little. 1999).

Advertisement

10. Conclusion

Brief review on the evolution of the laser driven, tin plasma EUV source, is described in this article. Tremendous efforts of many researchers contributed to this extremely difficult research successful after one decade. The established architecture is scalable to multi 100 watts EUV power (Endo, et.al., 2009), which is sooner or later required from semiconductor industry for lower cost production during the course of the next decade. Further engineering effort is required on the improvement of the EUV source, especially on the stability and robustness. Chemical and mechanical engineering becomes more important in the high temperature condition by continuous 20kW pulsed CO2 laser irradiation.

The author deeply expresses his thanks to his colleagues in this challenging program. Early study on fast ions and sputtering of multilayer coating was efficiently performed by Dr. H.Komori. Solid state and CO2 laser technology was successfully developed by Dr. T.Miura. Dr.Y.Ueno has greatly contributed to discover the plasma and EUV emission physics of CO2 laser produced tin target, to convince semiconductor business to select this architecture as the final solution for the high volume manufacturing EUV lithography.

References

  1. 1. Abe T. Suganuma T. Moriya M. Yabu T. Asayama T. Someya H. Ueno Y. Soumagne G. Sumitani A. Mizoguchi H. 2007 Small Field Exposure Tool (SFET) Light Source, Proceeding of SPIE Emerging Lithographic Technologies, 6517 978-0-81946-636-5 San Jose CA, February 2007, SPIE
  2. 2. Bielesch U. Budde M. Fischbach M. Freisinger B. Schaefer J. H. Uhlenbusch J. Viol W. 1992 Q-switched multi kilowatt CO2 laser system excited by microwaves, Proceedings of SPIE 9th International Symposium on Gas Flow and Chemical Lasers, 57 60 , 1810 978-0-81941-010-8 August 1992, Heraklion, Greece, SPIE
  3. 3. Berglund M. Rymell L. Hertz H. M. 1998 Cryogenic liquid jet target for debris-free laser-plasma soft x-ray generation. Rev.Sci. Instrum. 69 (6), 2361 2364
  4. 4. Ballard W. P. 2002 High power laser produced plasma source operation in the ETS, 1st International EUV Source Symposium, October 2002, Dallas, TX, International Sematech
  5. 5. Ballard W. P. Tichenor D. A. O’Connell D. J. Bernardez Jr L. J. Lafon R. E. Anderson J. M. Leung A. H. Williams K. A. Haney S. J. Perras Y. E. Jefferson K. L. Porter L. Knight D. Barr P. K. Van de Vreugde J. L. Campiotti R. H. Zimmerman M. D. Buchenauer A. Replogle W. C. Smith T. G. Wronosky J. B. Darnold J. R. Blaedel K. L. Chapman H. N. Taylor J. S. Hale L. C. Sommergren G. E. Gullikson E. M. Naulleau P. P. Goldberg K. A. Lee S. H. Shields H. St Pierre. R. J. Ponti S. 2003 System and process learning in a full-field high power EUVL alpha tool, Proceedings of SPIE Emerging Lithographic Technologies, 5037 978-0-81944-842-2 February 2003, Santa Clara, CA, SPIE
  6. 6. Bokhan P. A. Buchanov V. V. Fateev V. N. V. Kalugin M. M. Kazaryan M. A. Prokhorov A. M. Zakrevskii D. E. 2006 Laser isotope separation in atomic vapor, Wiley-VCH, 3-527-40621-2, Berlin
  7. 7. Coq-Lelandais J. P. Boustie M. Berthe L. de Resseguier T. Combis P. Colombier J. P. Nivard M. Clavenie A. 2009 Spallation generated by femtosecond laser driven shocks in thin metallic targets. J.Phys.D. Appl.Phys. 42 (6), 065402
  8. 8. Druon F. Cheriaux G. Faure J. Nees J. Nantel M. Maksimchuk A. Mourou G. 1998 Wave-front correction of femtosecond terawatt lasers by deformable mirrors. Opt.Lett. 23 (13), 1043 1045
  9. 9. Endo A. Hoshino H. Ariga T. Miura T. 2006 High power pulsed CO2 laser for EUV lithography, EUV Source Workshop, May 2006, Vancouver, B.C. Canada, International Sematech
  10. 10. Endo A. 2007 High power CO2 laser, EUV source workshop, May 2007, Baltimore, MD, International Sematech
  11. 11. Endo A. Hoshino H. Suganuma T. Nowak K. M. Yanagida T. Yabu K. Asayama T. Ueno Y. Moriya M. Nakano M. Someya H. Nishisaka T. Abe T. Soumagne G. Komori H. Mizoguchi H. Sumitani A. Toyoda K. 2008 CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography, Proceeding of SPIE Emerging Lithographic Technologies, 6921 978-0-81947-106-2 February, 2009, San Jose CA, SPIE
  12. 12. Endo A. Komori H. Ueno Y. Nowak K. Yabu T. Yanagida T. Suganuma T. Asayama T. Someya H. Hoshino H. Nakano M. Moriya M. Nishisaka Abe. T. Sumitani A. Nagano A. Sasaki Y. Nagai S. Watanabe Y. Soumagne G. Ishihara T. Wakabayashi O. Kakizaki K. Mizoguchi H. 2009 Laser produced plasma source development for EUV lithography, Proceedings of SPIE Alternative Lithographic Technologies, 7271 978-0-81947-524-4 February, 2009, San Jose CA, SPIE
  13. 13. Fay, B.; Exulite project team 2003 Modular laser produced plasma source for EUV lithography, EUV source workshop, Antwerp, Belgium, September 2003, International Sematech
  14. 14. Fiedorowicz H. Bartnik A. Szczurek M. Daido H. Sakaya N. Kmetik V. Kato Y. Suzuki M. Matsumura M. Tajima J. Nakayama T. Wilhein T. 1999 Investigation of soft X-ray emission from a gas puff target irradiated with a Nd:YAG laser. Opt. Comm. 163 (1-3), 103 114
  15. 15. Fomenkov I. V. Brandt D. C. Bykanov A. N. Ershov A. I. Partlo W. N. Myers D. W. Boewering N. R. Farrar N. R. Vaschenko G. O. Khodykin O. V. Hoffmann J. R. Chrobak C. P. Srivastava S. N. Golich D. J. Vidusek D. A. Dea S. D. Hou R. R. 2009 Laser-Produced Plasma Light Source for EUVL, Proceedings of SPIE, Alternative Lithographic Technologies, 7271 727138 727131-13, 978-0-81947-524-4 San Jose, CA, February, 2009, SPIE
  16. 16. Giesen A. Huegel H. Voss A. Wittig K. Brauch U. Opower H. 1994 Scalable concept for diode-pumped high power solid-state lasers. Appl.Phys. B58 (5), 365 372
  17. 17. Gillapsy J. D. 2003 Study of EUV source collector damage mechanism, 2nd International EUV Lithography Symposium, Antwerp, Belgium, September 2003, International Sematech
  18. 18. Hansson B. A. M. 2004 Progress in development of a high power source for EUV lithography, 3rd International Symposium on EUV Lithography, October 2004, Miyazaki, Japan, International Sematech,
  19. 19. Hodgson N. Weber H. 2005 Laser resonators and beam propagation: Fundamentals, Advanced Concepts and Applications 2nd edition, 0387400788, Springer, Berlin
  20. 20. Hoshino H. Suganuma T. Asayama T. Nowak K. Moriya M. Abe T. Endo A. Sumitani A. 2008 LPP EUV light source employing high power CO2 laser, Proceedings of SPIE Emerging Lithography, 6921 978-0-81947-106-2 San Jose, CA, February, 2008, SPIE
  21. 21. Kosizuka S. Oka Y. 1996 Moving particle semi-implicit method for fragmentation of incompressible fluid. Nucl.Sci.Eng. 123 421 434
  22. 22. Klebanoff L. 2003 Condenser erosion observations in the ETS, 2nd International EUV Lithography Symposium, Antwerp, Belgium, September 2003, International Sematech
  23. 23. Komori H. 2003 Study of ion damage on EUV light source collector mirrors, 2nd International EUV Lithography Symposium, Antwerp, Belgium, September 2003, International Sematech
  24. 24. Komori H. Ueno Y. Hoshino H. Ariga T. Soumagne G. Endo A. Mizoguchi H. 2006 EUV radiation characteristics of a CO2 laser produced Xe plasma. Appl.Phys.B83 (2), 213 218
  25. 25. Little C. E. 1999 Metal Vapor lasers: Physics, Engineering and Applications, John Wiley & Sons, Ltd. 0-47197-387-4, Chichester, West Sussex, England
  26. 26. Liu Y. Baktash C. Beene J. R. Bilheux H. Z. Havener C. C. Krause H. F. Schultz D. R. Stracener D. W. Vane C. R. Brück K. Geppert Ch. Kessler T. Wendt K. 2006 Laser ion source tests at the HRIBF on stable Sn, Ge and Ni isotopes. Nucl.Instrum and Meth. B243 (2), 442 454
  27. 27. Masnavi M. Nakajima M. Horioka K. 2009 Molecular dynamics investigation on tin, International Workshop on EUV Lithography, July 2009, Oahu, Hawaii, USA, EUV Litho, Inc
  28. 28. Mori M. Shiraishi T. Takahashi E. Suzuki H. Sharma L. B. Miura E. Kondo K. 2001 Extreme ultraviolet emission from Xe clusters excited by high intensity lasers. J.App.Phys. 90 (7), 3595 3601
  29. 29. Nakamura D. Akiyama T. Okazaki K. Tamura K. Takahashi A. Okada T. 2008 Ablation dynamics of tin micro-droplet irradiated by double pulse laser used for extreme ultraviolet lithography source. J.Phys. D:Appl.Phys.41 (24), 245210
  30. 30. Nakano M. Yabu T. Someya H. Abe T. Soumagne G. Endo A. Sumitani A. 2008 Sn droplet target development for laser produced plasma EUV light source, Proceedings of SPIE, Emerging Lithography, 6921 978-0-81947-106-2 San Jose, CA, February, 2008, SPIE
  31. 31. Nishihara K. Sunahara A. Sasaki A. Fujioka S. Shimada Y. Numamo M. Tamura H. Murakami M. Aota T. Fujima K. Furukawa H. Nishikawa T. Koike F. More F. Kato T. Zhakhovskii V. Gamata K. Ueda H. Nishimura H. Yuba Y. Nagai K. Miyanaga N. Izawa Y. Mima K. 2008 Advanced laser-produced EUV light source for HVM with conversion efficiency of 5-7% and B-field mitigation of ions, Proceedings of SPIE, Emerging Lithography, 6921 978-0-81947-106-2 San Jose, CA, February, 2008, SPIE
  32. 32. Nilsson J. Jaskorzynska B. 1993 Modeling and optimization of low repetition rate high energy pulse amplification in cw-pumped erbium-doped fiber amplifiers Opt. Lett. 18 (24), 2099 2101
  33. 33. Nowak K. M. Suganuma T. Endo A. Sumitani A. Goryachkin D. A. Romanov N. A. Sherstobitov V. E. Kovalchuk L. V. Rodionov A. Y. 2008 Efficient and compact short pulse MOPA system for laser-produced-plasma extreme-UV sources employing RF-discharge slab-waveguide CO2 amplifiers, Proceedings of SPIE High-Power Laser Ablation, 7005 978-0-81947-206-9 Taos, NM, April 2008, SPIE
  34. 34. Okazaki S. 2001 Report of domestic EUV source workshop, July 2001, ASET (in Japanese)
  35. 35. Sakai T. Hamada N. 1994 Q-switched CO2 laser using intense pulsed RF discharge and high speed rotating chopper, Proceedings of SPIE Gas Flow and Chemical Lasers: Tenth International Symposium, 2502 25 30 , 978-0-81941-860-9 Friedrichshafen, Germany, September 1994, SPIE
  36. 36. Sethian J. 2006 The high average power laser program, 15th HAPL meeting, September 2006, San Diego, CA
  37. 37. Shine R. J. Jr. Alfrey A. J. Byer R. L. 1995 40-W cw, TEM00-mode, diode-laser- pumped, Nd:YAG miniture-slab laser. Opt.Lett. 20 (5), 459 461
  38. 38. Sokollik T. Schnuerer M. Ter-Avetisyan S. Steinke S. Nickles P. V. Sandner W. Amin M. Torcian T. Willi O. Andreev A. A. 2009 Proton imaging of laser irradiated foils and mass limited target, 2nd International Symposium on Laser driven relativistic plasmas applied to science, industry and medicine, Kyoto, Japan, January 2009.
  39. 39. Stamm U. 2002 Recent advances in extreme ultraviolet sources and technology, Invited Talk CthB1, Conference on Lasers and Electro Optics 2002, May 2002, Long Beach, CA, Optical Society of America
  40. 40. Suckewer S. Skinner C. Voorhees D. Milchberg D. Keane C. Semet A. 1983 Population inversion and gain measurements for soft X-ray laser development in a magnetically confined plasma column, IEEE-QE 19, 1855 1860
  41. 41. Tanaka H. Akinaga K. Takahashi A. Okada T. 2004 Emission characteristics of extreme ultraviolet radiation from CO2 laser produced plasma. Jpn.J.Appl.Phys. 43 L585
  42. 42. Tanaka H. Matsumoto A. Akinaga K. Takahashi A. Okada T. 2007 Comparative study on emission characteristics of extreme ultraviolet radiation from CO2 and Nd:YAG laser produced tin plasma. Appl.Phys.Lett. 87 (4), 041503
  43. 43. Tao Y. Tillack M. Sequoia K. Yuspeh S. Burdt R. R. 2009 Investigations on Plasma Physics for an Extreme Ultraviolet Lithography Source Based on Laser-Produced High-Z Plasmas, Invited talk IO3A-1, 36th International Conference on Plasma Science and 23rd Symposium on Fusion Engineering, May 2009, San Diego, CA, IEEE
  44. 44. Ueno Y. Ariga T. Soumagne G. Higashiguchi T. Kubodera S. Pogorelsky I. Pavlishin I. Stolyarov D. Babzien M. Kusche K. Yakimenko V. 2007 Efficient extreme ultraviolet plasma source generated by a CO2 laser and liquid xenon microjet target. Appl.Phys.Lett. 90 (19), 191503
  45. 45. Ueno Y. Soumagne G. Sumitani A. Endo A. Higashiguchi T. 2007 Enhancement of extreme ultraviolet emission from a CO2 laser produced Sn plasma using a cavity target. Appl.Phys.Lett. 91 (23), 231501
  46. 46. Ueno Y. Hoshino H. Ariga T. Miura T. Nakano M. Komori H. Soumagne G. Endo A. Mizoguchi H. Sumitani A. Toyoda K. 2007 Characterization of various Sn targets with respect to debris and fast ion generation, Proceeding of SPIE Emerging Lithographic Technologies, 6517 978-0-81946-636-5 San Jose CA, February 2007, SPIE
  47. 47. Ueno Y. Soumagne G. Sumitani A. Endo A. Higashiguchi T. Yugami N. 2008 Reduction of debris of a CO2 laser produced Sn plasma extreme ultraviolet source using a magnetic field. Appl.Phys.Let. 92 (21), 211503
  48. 48. Ueno Y. Yanagida T. Suganuma T. Komori H. Sumitani A. Endo A. 2009 Characterization of Tin vapor from CO2 laser produced EUV light source, Proceedings of SPIE Damage to VUV, EUV, and X-Ray Optics II, 7361 978-0-81947-635-7 April 2009, Prague, SPIE
  49. 49. Wieland M. Wilhein T. Faubel M. Ellert Ch. Schmidt M. Sublemontir O. 2001 EUV and fast ion emission from cryogenic liquid jet target laser-generated plasma. Appl.Phys. B72 (5), 591 597
  50. 50. Zhigilei L. V. Kodali P. B. S. Garrison R. J. 1998 A microscopic view of laser ablation. J.Phys.Chem. B1998, 102, 2845 2853

Written By

Akira Endo

Published: 01 February 2010