Open access

VLSI Implementation of Least Square Channel Estimation and QPSK Modulation Technique for 2×2 MIMO System

Written By

Sudhakar Reddy Penubolu and Ramachandra Reddy Gudheti

Submitted: 18 May 2010 Published: 04 April 2011

DOI: 10.5772/14131

From the Edited Volume

MIMO Systems, Theory and Applications

Edited by Hossein Khaleghi Bizaki

Chapter metrics overview

3,615 Chapter Downloads

View Full Metrics

Written By

Sudhakar Reddy Penubolu and Ramachandra Reddy Gudheti

Submitted: 18 May 2010 Published: 04 April 2011