Open access

Nanoimprint Lithography

Written By

Hongbo Lan and Yucheng Ding

Published: 01 February 2010

DOI: 10.5772/8189

From the Edited Volume

Lithography

Edited by Michael Wang

Chapter metrics overview

17,535 Chapter Downloads

View Full Metrics

1. Introduction

The Nanoimprint lithography (NIL) is a novel method of fabricating micro/nanometer scale patterns with low cost, high throughput and high resolution (Chou et al., 1996). Unlike traditionally optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques (Guo, 2007). The resolution of NIL mainly depends on the minimum template feature size that can be fabricated. Compare with optical lithography and next generation lithography (NGL), the difference in principles makes NIL capable of producing sub-10 nm features over a large area with a high throughput and low cost (Chou et al., 1997). Therefore, the charm of NIL largely comes from its capability for patterning with high resolution, high fidelity, high throughput, and low cost. In addition, nanometer sized patterns can easily be formed on various substrates, e.g., silicon wafers, glass plates, flexible polymer films, and even nonplanar substrates. The process has been added to the International Technology Roadmap for Semiconductors (ITRS) for the 32 and 22 nm nodes. Toshiba, moreover, has validated it for 22 nm and beyond. What is more significant is that NIL is the first sub-30 nm lithography to be validated by an industrial user (Yoneda et al., 1997).

Nanoimprint lithography was first invented by Chou and his students in 1995 as a low-cost and high throughput alternative to photolithography and e-beam lithography (EBL) for researchers who need high resolution patterning, motivated by the high expense and limited resolution of optical lithography. Due to historical reasons, the term NIL initially refers to a hot embossing lithography (HEL) process, and was also used as a synonym for thermal NIL (Chou et al., 1995). However, NIL has now an extended meaning which includes not only two fundamental types (Hot Embossing Lithography and UV-based Nanoimprint Lithography, UV-NIL) but also many different variations developed such as roll imprint process, laser-assisted direct imprint, reverse imprint lithography, substrate conformal imprint lithography, ultrasonic NIL, etc. Compared to other lithography processes and next generation lithography with nanoscale resolution, such as e-beam lithography and extreme ultraviolet lithography (EUVL), the most prominent advantage of NIL is its ability to pattern 3D and large-area structures from micron to nanometer scale and its potential to do so at a high throughput and low cost. This paves the way for many applications in the area of data storage, nano-optoelectronic, optical elements, NEMS and NOEMS, etc (Balla et al., 2008). Furthermore, NIL has currently demonstrated great potential and commercial prospects in many application fields, such as Hard Disk Drive (HDD), LED, OLED, flexible display, optical and biological devices, etc. After more than ten years of NIL process development, a range of standard tools and materials is available from various industrial providers.

NIL technology involves two fundamental aspects: the basic research and the application research, as shown in Fig.1. The basic research consists of the process, tool, template (mold), material (resist, functional material, etc) which aim to meet the different application requirements, namely the micro/nano structures or devices fabrication. NIL applications mainly cover nanoelectronics, nano-optoelectronics, nanophtonic, nano-biology, optical components, etc.

Figure 1.

Overview of the NIL.

The chapter systematically presents the NIL technique and various applications. Moreover, some key issues and recent progresses for NIL are discussed in detail. Finally, the prospect and challenges in NIL are also addressed. The aim of this chapter is to make readers to systematically and deeply understand NIL, and better apply the new technique.

Advertisement

2. NIL process

2.1. Principle of NIL

NIL is based on the principle of mechanically modifying a thin polymer film (mechanical deformation of the resist) using a template (mold, stamp) containing the micro/nanopattern, in a thermo-mechanical or UV curing process. In other words, NIL uses the direct contact between the mold (template) and the thermoplastic or UV-curable resist to imprint (or replicate) the pattern, unlike optical lithography, does not require expensive and complex optics and light sources for creating images. The switch from using light to using contact to pattern brings some advantages. For instance, it can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional techniques, simplifies process and largely reduces cost. However, that will also indeed bring new challenges and issues, the most important of which are alignment and the 1x mask/template fabrication. Since NIL can be considered as such a process based on squeeze flow of a sandwiched viscoelastic material between a mold and a substrate, the property of interface between the two materials has to be considered throughout the entire process, both from topographical, chemical, and mechanical points of view. Furthermore, the characteristics of the interface and surface have a great impact on the demolding capability and filling behavior which can strongly influenced pattern quality and throughput (Schift, 2008, Bhushan, 2007, Guo, 2004).

The patterned polymer can even act as a functional device, e.g. lens for imaging sensors, micro fluidic chip, biomedical array etc. It can also be used as a high resolution mask for subsequent steps of the process (metal deposition, electroplating, etching and lift-off process). Moreover, various substrates, including silicon wafers, glass plates, flexible polymer films, polyethylene terephtalate (PET) polymer film, and even nonplanar substrates can be utilized for NIL (Costner et al., 2009). The ultimate resolution of the patterns fabricated by NIL is primarily determined by the resolution of the features on the surface of the mold. Because of the 1X nature of NIL compared with 4 X for photolithography, the 1X template must be more accurate than conventional masks.

As a result, distinct features for NIL involve two points: (1) the contact nature of the process; (2) direct mechanical deformation of the resist. Two crucial steps, namely the resist filling rheology behavior and demold capabilities, have decisive influence on transferred pattern quality and throughput for NIL. The particular advantage of NIL compared to other lithography techniques and NGL is the ability to fabricate large-area and complex three-dimensional (3D) micro/nanostructures with low cost and high throughput.

2.2. Two fundamental processes for NIL

Currently, there are a great variety of NIL process types, but two of them are most important and fundamental: Hot Embossing Lithography (HEL) or thermal nanoimprint lithography (T-NIL), UV-based Nanoimprint Lithography (UV-NIL), as shown in Fig. 2 (Steward & Willson, 2005). Both thermal and UV-NIL have demonstrated a sub-10 nm resolution.

T-NIL is the earliest NIL developed by Stephen Chou's group. In a standard T-NIL process, a thin layer of imprint resist (thermoplastic polymer) is spin-coated onto the substrate. Then the mold, which has predefined topological patterns, is brought into contact with the substrate and they are pressed together under certain pressure. When heated up above the glass transition temperature (Tg) of the polymer, the feature pattern on the mold is pressed into the melt polymer film. After being cooled down, the mold is separated from the substrate and the pattern resist is left on the substrate.

Figure 2.

Two fundamental process types for NIL (Steward & Willson, 2005).

A subsequent pattern transfer process (e.g. reactive ion etching) can be used to transfer the pattern in the resist to the underneath substrate. In UV-NIL, a UV-curable liquid photopolymer instead of thermoplastic as resist is applied to the substrate and the mold is normally made of transparent material like fused silica, quartz mold. After the mold and the substrate are pressed together and the cavities (trenches) are fully filled by resist, then the resist is cured in UV light and becomes solid. After demolding, a similar pattern transfer process can be used to transfer the pattern in resist onto the underneath material. The polymer residual layer is removed (Nanoimprint lithography, 2009). The basic difference between UV-NIL and T-NIL is that a resin, which is liquid at room temperature, is shaped by a moderate pressure, which is then crosslinked and hardened by curing. Each process has of its own prominent advantages, e.g. while UV-NIL can be performed at room temperature and low pressure, hot embossing is low-cost since nontransparent molds can be used (Less restrictions on mold). Schift and Kristensen provide a comparison of T-NIL and UV-NIL, with typical parameters of current processes (Bhushan, 2007). However, UV-NIL has established itself as a promising alternative to NIL in which imprint lithography is conducted at room temperature under low pressure conditions. UV-NIL is one of the most important NIL technologies for structuring of large wafer areas up to 300 mm in diameter. The process offers several decisive technical advantages concerning overlay alignment accuracy, simultaneous imprinting of micro- and nanostructures and tool design due to the absence of high imprint pressures and thermal heating cycles (Fuchs et al., 2008, Bender et al., 2006).

UV-NIL offers two approaches for patterning using either rigid quartz glass molds (Hard UV-NIL) or soft molds (Soft UV-NIL) for structuring of UV sensitive resists resulting in an etching mask for the substrate to be patterned (Glinsner et al., 2007, Plachetka et al., 2004). For UV-NIL using a rigid mold, the hard mold brings about two weaknesses, one is the sticking characteristic which can lead to the following shortcomings that a release agent or surfactant is necessary, and the demolding force is especially large. Another is the limitation in imprint area due to having the surface waviness onto the mold and the substrate surfaces. Furthermore, it is rather difficult to ensure uniform and parallel surface contact between a template and a wafer during imprinting process. Compared with the hard mold, using a soft or flexible mold can avoid the conglutinating of resist, acquire high precision feature, enlarge the pattern transferring area, reduce the parallelism error between the mold and the substrate and lengthen the life-time of the master. In particular, high flexibility of the mold enabled conformal contact as well as imprinting at significantly reduced imprint pressure. However, the elastomeric behavior of the soft mold has both, positive and negative attributes. On one side it offers numerous advantages, but one the other hand some defects such as resolution limitations and non-uniformity of the transferred patterns, etc., will have to be considered and resolved. In addition, Swelling is a commonplace issue with PDMS based molds since most organic liquids will swell PDMS. In the case of flexible mold local deformations limit the resolution of soft UV-NIL principally. Therefore, compared to HEL and UV-NIL used rigid molds, it is particularly important for the soft UV-NIL to understand and reduce as much as possible the mold deformation for the practical application of the technique. The current capability for the process from AMO and Süss can ensure nanoscale resolution down to sub 50 nm and perfect pattern stability (SCIL, 2009). However, the deformations of the soft mold during imprinting process which can cause serious consequences have to be considered for the practical application of the process and further improving the pattern resolution (Lan et al., 2009).

The Jet and Flash™ Imprint Lithography (J-FIL®) process, formerly called Step and Flash® Imprint Lithography, (S-FIL®) developed at the University of Texas at Austin, is a typical and fundamental UV-NIL process. The fused silica surface, coated with a release layer, is gently pressed into a thin layer of low-viscosity resist. The resist is deposited in a customized pattern matching the template using the IntelliJet™ Drop Pattern Generator. When illuminated by a UV lamp, the surface is polymerized into a solid layer. Upon separation of the fused silica template, the pattern is left on the substrate surface. A residual layer of polymer between features is removed by an etch process, and a perfect replica of the pattern is ready to be used in subsequent processing for etch or deposition. Fig.3. demonstrated the Jet and Flash™ imprint lithography process. Sub-10nm features have been made that exceed the present requirements outlined in the ITRS, as well as most patterned media roadmaps (J-FIL, 2009).

In addition, the NIL process can also be divided into two broad categories: single-step imprinting and multi-step imprinting based on the size of a template and imprinting time. The former is to imprint resist on a wafer using a wafer-sized template at a time, whereas the latter is to use a chip-sized template by the step and repeat process. For the single-step NIL, the wafer-sized template consists of multiple groups of chip-sized patterns that are uniformly distributed with the equal in between space. According to the layer number of an imprinting pattern, it can be further divided into the monolayer patterning process (single level) and multilayer patterning process (multilevel). High-resolution overlay is considered to be an important challenge for multilayer imprinting processes. Therefore, there are four types of corresponding imprinting processes: (i) single-step and monolayer, (ii) single-step and multi-level, (iii) multi-step and monolayer, (iv) multi-step and multi-level (a real commercialization process). The current NIL machines mainly involve first these forms of (i), (ii) and (iii).

2.3. Variants of NIL

In the recent years, a variety of new processes have been proposed and investigated, such as reverse NIL, soft UV-NIL, Laser assisted direct imprint (LADI), Sub-10 nm NIL, chemical nanoimprint, electrical field-assisted NIL, etc., which aim to implement the micro/nano structures fabrication with large area, 3-Dimension, high throughput, high resolution, free defect, and to directly make various functional structures, as well as to improve the throughput and pattern quality.

Figure 3.

Jet and Flash™ imprint lithography process (J-FIL, 2009).

2.3.1. Combined thermal and UV-NIL

STU® (Simultaneous Thermal and UV) technology form Obducat enables simultaneously combined thermal and UV-NIL, as shown in Fig.4, allowing the complete imprint sequence into UV-curable thermoplastic pre-polymers to be performed at a constant temperature. By using the unique STU® technology, problems related to thermal expansion mismatch between stamp and substrate are avoided. The method allows the use of spin-coated UV-curable polymers with a homogeneous thickness distribution on wafer scale, crucial for CD control and enabling pattern transfer to an underlying substrate. Obducat has proved the ability to imprint 17nm features with its proprietary IPS (intermediate polymer stamp)-STU process. Furthermore, 17 nm dots have been printed uniformly with a residual layer below 7 nm (Key Technologies, 2009).

2.3.2. Reverse imprint process

For the reverse NIL process, a polymer film is firstly spin-coated onto the mold (rather than substrate), the polymer will fill up the trench regions of the surface relief patterns. This means that a replica of the mold pattern is formed in the polymer film simply by spin coating. Subsequent, this film can be transferred from the mold to a substrate, patterned structures are obtained. The key to the successful film transfer lies in the fact that the mold has a lower surface energy than does the substrate, and so the polymer film has better adhesion to the substrate and therefore can be detached from the mold. The process has the ability to construct the three-dimensional and multilayer micro/nanostructures.

Figure 4.

A simultaneous thermal and UV (SUV®) imprint process (Key Technologies, 2009).

Furthermore, the crucial advantage of this technique is the possibility to construct three-dimensional device-like structures without having to etch polymer residual layer at any intermediate step. Some devices such as three-dimensional photonic crystals, multi-layered nano-channels, polymer optical devices, gold gratings (metallic nanostructures) have been fabricated using the reverse NIL process or the combination of the reverse imprint process and other micro-fabrication technologies (Guo, 2004, Kehagias et al., 2006, Han et al., 2007). Fig.5 shows the schematics of a reverse UV contact NIL for 3D Nanofabrication (Kehagias et al., 2006).

Figure 5.

Reverse UV contact NIL process (Kehagias et al., 2006).

Combined the UV-curable reverse NIL process with a water soluable PVA (polyvinyl alcohol) based removable template and home made UV-curable glue, Lee’s team implement the successful fabrication of multi-stacked 2D nano patterned slabs on various substrates including flexible polymer film. The highlight for the process is to develop a PVA mold which be dissolved by water (Han et al., 2007).

2.3.3. Laser-assisted direct imprint

Laser assisted direct imprint (LADI) is a rapid technique for patterning nanostructures that does not require etching. LADI is based on the following principle: a single excimer laser pulse melts a thin surface layer of the functional materials, and a mold is embossed into the resulting liquid layer, as shown in Fig. 6. It has been used for making nanostructures in silicon and metals with a resolution better than 10 nm. LADI offers direct patterning without etching for compound semiconductors which are hard to be etched. Using this method, applicants have directly imprinted into silicon large area patterns with sub-10 nanometer resolution in sub-250 nanosecond processing time. The method can also be used with a flat molding surface to planarize the substrate. The high resolution and speed of LADI could open up a variety of applications and be extended to other materials and processing techniques (Chou al., 2002).

Figure 6.

Laser assisted direct imprint (LADI) (Chou al., 2002).

2.3.4. Roll imprint process

For conventional NIL processes, one of the most important problem is that it cannot significantly improve the throughput in the patterning of large area product with low cost because it is not a continuous process. To overcome this limitation, roller-type nanoimprint lithography (RNIL) has been developed and is becoming the most potential manufacturing method for industrialization of nanoimprinting process, due to its prominent advantage of continuous process, simple system construction, high-throughput, low-cost and low energy consuming. Compared to other NIL processes, the unique advantage for the RNIL is only a continuous process with a high throughput to fabricate the large-area patterns. The RNIL involves three essential steps: deposition, patterning and packaging (Fig.7 (II)). Two molds (roller mold and flat mold, Fig. 7 (III)) and two substrates (flexible substrate and rigid substrate, Fig. 7 (I)) can be used for the RNIL (Lan et al., 2008, Ahn et al., 2006, Ahn & Guo, 2008, Youn et al., 2008, Kao et al., 2005, Lee et al., 2008, Chang et al., 2006). Lan et al., have presented a general literature review on the RNIL (Lan et al., 2008). Guo et al., demonstrated a Roll-to-Roll NIL process in which polymer patterns down to 70nm feature size were continuously imprinted on a flexible web (Ahn & Guo, 2008). A thermal roller imprint lithography (RIL) system was developed and applied to RIL tests to evaluate its feasibility for the large area replication of an optical micro device. The system has the capacity to replicate ultra-precision structures on an area of 100mm×100mm at the scanning speed range of 0.1–10 mm/s. A light guide plate (LGP) for a back light panel was fabricated. The system is suitable for the fabrication of various optical micro devices such as flat panel displays, electronic papers, functional films, and others (Youn et al., 2008). A combination method of the roller-type imprinting lithography and photolithography (CRIP), followed by wet chemical etching was used to fabricate the patterned organic light emitting devices (OLEDs) with pixels of 500 µm × 300 µm on the flexible PET substrates. Compared with the conventional imprint lithography or photolithography, CRIP using the hybrid mold has the advantages of better uniformity, less force, less time-consuming, lower cost and higher aspect ratio. This technique is potentially cost-effective, offers high throughput, less time-consuming and is suitable for fabrication on flexible substrate (Chang et al., 2006).

Figure 7.

Roller-type Nanoimprint Lithography (Lan et al., 2008).

2.3.5. Large area imprint

Large area imprint over the full wafer surface is needed often in single layer imprinting when high throughput is needed. In a full wafer nanoimprint scheme, all the patterns are contained in a single nanoimprint field and will be transferred in a single imprint step. This allows a high throughput and uniformity. To ensure the pressure and pattern uniformities of full wafer nanoimprint processes and prolong the mold lifetime, a pressing method utilizing isotropic fluid pressure, named Air Cushion Press (ACP) by its inventors, was developed and being used by commercial nanoimprint systems. ACP applied air pressure for conformal contact and imprint, therefore achieved ultra-uniformity over the whole imprint field. It has advantages over traditional parallel plate press: capable of handling the substrate with uneven back and pattering on curved surface. Presently, Nanonex provides three series of NIL tools (NX-1000, NX-2000, and NX-3000) for T-NIL and P-NIL, with and without alignment. All of them use the ACP to achieve excellent pattern uniformity (Tan et al., 2004, Gao et al., 2006). Pelzer et al., studied full wafer replication of nanometer features, and presented results on full wafer imprints up to 200mm with high-resolution patterns for microelectronic applications. There are no physical limitations encountered with imprinting techniques for fully replicated structures, in the sub-10nm range. The real challenge for the technique is its utilization for dense structured full wafer imprints up to 200mm (Pelzer et al., 2005).

The step and repeat process is another approach to pattern on large areas (e.g. 300 mm wafers scale). The imprint field (die) is typically much smaller than the full wafer nanoimprint field. The die is repeatedly imprinted to the substrate with certain step size. This scheme is good for nanoimprint mold creation. It is currently limited by the throughput, alignment and street width issues (Jeong et al., 2005).

2.3.6. Substrate conformal imprint lithography

SCIL (Substrate conformal imprint lithography), that was developed based on a cooperation between Philips Research and SUSS MicroTec in 2008, is an enabling technology offering large-area soft stamps with repeatable sub-50nm printing capability, while avoiding stamp deformation as no contact force is applied, non-UV based curing at room temperature and allowing high aspect ratios even up to 1:5 and more. The new SCIL technology has been designed for sub-50nm patterning and is bridging the gap between small rigid stamp application for best resolution and large-area soft stamp usage with the usual limited printing resolution below 200nm. Süss believes that the SCIL represents an enabling new technology that paves the way for further commercialization of NIL (SCIL, 2006).

2.3.7. Nanoelectrode lithography

Nanoelectrode lithography, which is a pattern duplication method that combines nanoimprint with an electrochemical reaction. The conductive mold pattern undergoes an electrochemical reaction that enables an oxide pattern to be fabricated directly on the surface of a semiconductor or metal layer. Since this technique transfers the mold pattern to a target surface chemically, it is categorized as chemical nanoimprint, while conventional nanoimprint physically transfers a mold pattern having peaks and valleys to the target (Fig.8). This patterning phenomenon gives nanoelectrode lithography some advantages such as resistless patterning and multiple patterning, which will improve the accuracy and flexibility of nanoimprint (Yokoo & Namatsu, 2009).

2.3.8. Hybird NIL process

Mix-and-match approaches are used to combine the advantages of two or more lithographic processes or simply to avoid their mutual disadvantages. This is also a way to improve throughput and reliability, e.g. since the fabrication of large-area nanostructures is often costly, the definition of microstructures can be done with optical lithography, while the nanopatterning of critical structures in small areas can be done by NIL.

Figure 8.

Chemical nanoimprint (nanoelectrode lithography) (Yokoo & Namatsu, 2009).

Figure 9.

Integrated nanofabrication scheme on nanoimprinted pattern (Plachetka et al., 2008).

An integrated process combining top-down nanoimprint lithography and bottom-up layer-by-layer (LBL) self-assembly was applied to the fabrication of 3D hybrid nanostructures, as shown in Fig.9. The method can implement the fabrication of 3D nanoobjects of arbitrary shapes on substrates, where the x,y dimensions are determined by NIL and the z dimension by the LBL assembly. The process can be used to fabricate the photonic components. Passive photonic devices in silicon waveguide technology have been fabricated with quite acceptable results in comparison with other lithography methods (Plachetka et al., 2008). Cheng and Guo proposed a combined-nanoimprint-and-photolithography (CNP) technique which introduced a hybrid mask mold made from UV transparent material and with a light-blocking metal layer placed on top of the mold protrusions. The CNP method using such a hybrid mold can achieve resist patterns without residual layer, and the resist patterns can have higher aspect ratio than the feature on the mold. In addition, the photoresist used in the CNP technique can provide higher etching durability compared with thermal plastic polymers that are commonly used in NIL. Compared with contact photolithography techniques, the CNP can achieve much higher resolution by reducing the effective resist thickness down to tens of nanometers (Cheng & Guo, 2004).

2.3.9. High resolution NIL

HP and MIT developed a sub-10 nm NIL by wafer bowing, introduce the concept of wafer bowing to affect nanoimprinting, as shown in Fig. 10. In the scheme, the imprint force is applied uniformly and systematically from center to edge, preventing air from being trapped. More importantly, it shortens the mechanical path between the mold and wafer; this makes the imprinter less susceptible to ambient vibration and helps to preserve the alignment during mold-wafer approach. After an UV exposure step, air can be let into the module to effect mold-wafer detachment. These will enable achieving excellent patterning and overlay at much lower cost (Wu et al., 2008).

In order to meet the manufacturing requirements of a variety of micro/nano devices and structures, lots of new NIL processes are being proposed and developed in recent year. Here only presents some principal and typical NIL processes. As the rapid development of the NIL technique and micro/nano fabrication technologies, much more innovative processes or methods concerning NIL will emerge in the future.

2.4. Crucial process issues for NIL

2.4.1. Thickness and uniformity of residual layer

A key characteristic of NIL is the residual layer following the imprint process. It is preferable to have thick enough residual layers to support alignment and throughput and low defects. However, this renders the NIL step less critical for critical dimension (CD) control than the etch step used to remove the residual layer (Nanoimprint lithography, 2009). In addition, as a practical technology for the mass production of nanosized patterns, NIL must have an ability to produce a uniform layer with minimal residual layer thickness. Reducing the residual layer is important as it limits the effect RIE has on the resist mask improving tolerance control. Therefore, having a thin and uniform residual layer plays an important role for various NIL processes. In order to satisfy the requirements, various methods have been proposed. Among these include (1) the addition of some aspects of photolithography such as selective UV-curing through a hybrid mask- mold followed by a development step, (2) contrast-modified exposure followed by development, (3) reducing the initial volume of resist to induce incomplete filling of the mold, (4) using high pressure to squeeze excess resin out from between the mold and the substrate, and (5) optimization of droplet positioning in the case of a liquid resin system ( Jun et al., 2005 , Dumond & Low, 2008, Lee & Jung, 2004, Bogdanski et al., 2007, Hiroshima, 2008).

Figure 10.

Sub-10 nm NIL by wafer bowing (Wu et al., 2008).

Jun et al., from HP Lab, devised a novel technique for dispensing resist that takes advantage of the opposing surface energies of the mold and the substrate to produce a uniform and air-free resist film ( Jun et al., 2005 ). Dumond and low developed a method of imprinting resist structures wherein the residual layer is self-removed via failure while desirable resist features are transferred to an external substrate. The uniqueness of this technique is further enhanced by the relative ease with which it can be used to fabricate overhang structures useful for shadowing evaporated materials (Dumond & Low, 2008). Balla et al., discussed the relationship between the residual layer and initial resist thickness. Lee and Jung investigated the factors affecting residual layer thickness in UV-NIL. To obtain imprinted patterns with no residual layer, a thin and uniform layer of imprint resin must initially be applied. Effective rearrangement of the resin during imprinting is also essential to obtain no residual layer. The use of pressurized imprinting and a more fluidic imprint resin are very helpful. The high fidelity transfer of various patterns as small as 150 nm with no residual layer was successfully demonstrated by controlling the initial resin thickness at an imprint pressure of 15 atm (Lee & Jung, 2004). Investigators at University of California at Berkeley have developed a zero residual layer NIL process. This technology is applicable to large-scale nanoimprinting, flexible electronics, printing of nanoscale metal electrodes, patterning photoresist for subsequent processing, among other applications. The method provides a new way to change the fluid and solid interaction so as to exclude more fluid and eliminate the residual layer. Preliminary results show that the gold nanoparticles are confined to patterned features, and excluded from all other areas. The ability to pattern micro/nanoscale features without residual layer formation makes NIL significantly simpler and reduces processing time as compared to prior methods. This technology is application to all NIL n needs (Zero residual layer nanoimprint lithography, 2009).

2.4.2. Pattern fidelity

In most cases, NIL involves two transferring steps for whole imprint course. Firstly, the patterns in the template is replicated to the resist, subsequently, the resist pattern is to be further process for transferring the substrate applying the etching process or functional materials using combination of deposition process and the lift-off technique. Therefore, it is very important to ensure pattern fidelity in NIL process for achieving high-quality pattern. There are two problems to overcome to preserve the pattern fidelity in transferring the polymer pattern to the underlying substrate. One of them involves removing the residual resist from the recessed parts (windows) of the pattern by RIE, depositing a metal layer and applying a lift-off technique such that the metal layer left only in the windows can be used as the etch mask for further processing. Another approach is the use of a multilayer, typically a bilayer of one polymer on another polymer layer or a trilayer in which a layer easily deformable at relatively low temperature is added to a metal–polymer bilayer. This bilayer reversal imprint lithography offers a distinct advantage over other imprint techniques in allowing for a high aspect ratio of the pattern transferred onto a substrate, which has been difficult to obtain for small feature sizes. The method requires only one etching step as opposed to the two etching steps typically needed in the imprint lithography, which can degrade the pattern fidelity (Suh et al., 2004). Li et al., studied the pattern transfer fidelity of NIL by patterning sub-micron MESFET gates on six-inch wafers. The CDs of gate patterns in resist are 5.2% (or 37 nm) on average larger than those on the mould with a standard deviation of 1.2% (or 8 nm), and the CDs after oxygen RIE and metal lift-off are 42% (or 296 nm) on average larger than those on the mould with a standard deviation of 8% (or 30 nm). Compared with conventional photolithography, NIL has higher resolution and better pattern transfer fidelity with CD controls about four times smaller (Li et al., 2003). The primary measure of process quality in NIL is the fidelity of pattern transfer, comparing the dimensions of the imprinted pattern to those of the mold. A rapid, nondestructive technique termed critical dimension small angle X-ray scattering (CD-SAXS) is used to measure the cross sectional shape of both a pattern master, or mold, and the resulting imprinted films. CD-SAXS data are used to extract periodicity as well as pattern height, width, and sidewall angles. Films of varying materials are molded by thermal embossed NIL at temperatures both near and far from the bulk glass transition (Jones et al., 2006).

2.4.3. Defect control

In NIL, defects roughly could be divided into two groups: random distributed and repeated defects. Random distributed defects include particle-associated defect, gap (or void) associated defect, and separation related defects, and the residual after imprint, which are not repeatable in terms of location and amount. Repeated defects include those existing defects on mold and substrate, which are repeated in the process. The gap-associated defect is a unique phenomenon in NIL, which is induced by the incomplete contact between mold and substrate. Defects have been one of the biggest obstacles for NIL to be the real nanofabrication process in the industry. To the nanodevice manufacturer, defects are one of the key issues to product quality and yield ( Chen et al., 2005 ). Unlike in other lithography, a particle induced defect in NIL is larger than the particle itself. To remove the particles, a dry clean process for the nanostructure-patterned surface can be used ( Chen et al., 2005 ). When vacuum is not used during the imprint process, air can get trapped, resulting in bubble defects. This is because the imprint resist layer and the template features are not perfectly flat. There is an elevated risk when the intermediate or master stamp contains depressions (which are especially easy air traps), or when the imprint resist is dispensed as droplets just before imprinting, rather than pre-spun onto the substrate. Sufficient time must be allowed for the air to escape. Bubble defects are unavoidable when UV-NIL is carried out in air. Hiroshima et al.; proposed two methods to eliminate bubbles, i.e., resin squeezing by which bubbles are transferred out of the mold, and gas condensation by which bubbles are considerably decreased in volume. The resin squeezing method, which requires no additional cost, is effective for a thick initial film, but not for a thin initial film because resin flow transporting bubbles out of the mold is insufficient. The gas condensation method does not involve such restrictions. Due some limitations of the gas condensation method, they studied the elimination of bubble defects by gas condensation method using pentafluoropropane. When UV nanoimprint is carried out in an environment of a pentafluoropropane flow higher than 150 sccm with a hold time longer than 20 s, no bubble defects are generated in the entire imprint area. Bubbles are eliminated within a few seconds under an imprint pressure of 0.5 MPa. They consider that bubble elimination using pentafluoropropane is very useful and realizes UV nanoimprint of the same quality as that using vacuum but at a much lower cost (Hiroshima & Komuro, 2007). Liang et al., reported an experimental and theoretical study of two most critical yet still to-be-answered issues in dispensing-based nanoimprint lithography (D-NIL): air bubble formation and absorption, and discuss their impact on NIL yield and throughput. Their study shows that the key factors that affect the air dissolution time (and hence the air bubble shrinking time) are air bubble initial size, imprinting pressure, air solubility, and resist residue layer thickness. One of the key conclusions from the study, which has significant practical importance, is that although the air in a bubble can be completely dissolved in a resist liquid as long as the bubble is smaller than a certain size, the air absorption time might be too long for the dispensing-NIL operating in atmosphere or poor vacuum to have a necessary throughput in mass manufacturing. In addition, when the residual layer thickness is close to zero or a critical thickness, the bubble dissolution process can be significantly slowed down (Liang et al., 2007).

2.4.4. Filling process

The NIL involves two crucial process steps including the resist filling rheology behavior and demold characteristics which have decisive influence on pattern quality and throughput. In order to better understand the NIL mechanism and obtain the optimal imprint conditions (e.g., pressure, temperature, pattern layout of the mold, and time), it is necessary and important to investigate the flow and filling rheology behaviors of the resist. Two fill mechanisms have been observed: simple flow of the PMMA from the borders and formation of polymer mounds. A simple theory was used to estimate the embossing time required to fill a given stamp geometry. In both cases there is evidence of compression causing buckling of the polymer and also capillary action drawing the polymer up to the top of the stamp cavity (Scheer & Schulz, 2001). Heyderman et al., thought that the time to fill a nanostructure array with a large unstructured surrounding area is the same for a microcavity with the same surrounding area and cavity volume. The fastest embossing times for complete fill of the cavities were obtained at temperatures greater than 100 C above T g with PMMA viscosities in the range 300 to 3000 Pas (Heyderman et al., 2000). Jeong et al., study simulated hot embossing micro/nano-manufacturing with thin films, including the effects of capillary force and width of stamp groove on the flow behavior during embossing. Simulations showed double peak flow for regions of large width, slow printing speeds and with surface tension as a significant factor (Jeong et al., 2002). Macintyre and Thoms developed a method to directly observe the flow of resist arising from NIL. A fiducial grid was embedded in the polymer layer being imprinted, and they observed its distortions after imprinting (Macintyre & Thoms, 2005). Scheer and Schultz recorded wave-like fronts of resist moving between the stamp and the substrate during imprinting processes operating at more than 100 bar (Scheer & Schulz, 2001). The mechanism in the low-pressure/high-temperature imprinting depends on the type of mold used. When a rigid mold is used, capillarity is the mechanism. In the case of a flexible film mold, however, both capillarity and viscous flow are responsible for the imprinting. As time increases, only capillarity is operative. An understanding of the mechanisms involved in various imprinting methods is valuable on its own. More important is the insight it can provide into possible modifications of the imprinting process that can be tailored for specific applications (Khang & Lee, 2008 ).

Advertisement

3. NIL mold (Template)

The mold (template, stamp) is one of the most critical elements for the NIL process. The ultimate resolution of the patterns fabricated by NIL is primarily determined by the resolution of the features on the surface of the mold. Because of the 1X nature of NIL compared with 4 X for photolithography, the 1X template fabrication has now been considered the greatest challenge for NIL process. This section will mainly discuss three issues regarding NIL molds: material, fabrication method and crucial process issues.

3.1. Mold material

A variety of materials such as Silicon, SiO2, Fused Silica (bulk), Quartz (fused), Glass, Silicon Nitride (Si3N4), Diamond, Nickel, PDMS, etc., have been utilized to make molds for NIL. The material chosen affects the mold lifespan and reliability. Harder materials provide better wear characteristics, while soft moulds may have a limited lifespan, but can simplify stamp creation (Pfeiffer et al., 2002). Not only the mechanical characteristics, but also optical and chemical properties are important when choosing a mold material for NIL. Critical mechanical parameters and their implications for NIL are hardness and thermal stability (lifetime and wear), thermal expansion coefficients and Poisson’s ratio (dimension mismatch leading to distortions during demolding), roughness (higher demolding force and damage), Young’s modulus (bending), and notch resistance (lifetime and handling). Issues related to fabrication are processability (etching processes, selectivity, clean room environment), and surface quality (resolution) (Bhushan, 2007). The handbook gives a brief overview of the mechanical and thermal properties of materials used for molds (Bhushan, 2007). The use in a NIL process is also determined by additional properties such as transparency, conductivity, anti-sticking properties (with/without anti-adhesive coating, e.g. by covalent coating), availability and cost (standard materials and sizes, tolerances, processing equipment and time), and how easy it is to employ in NIL (e.g. fixing by clamping, thermobonding, gluing). Currently, Silicon, Quartz, Nickel and Silicon Nitride (Si3N4) are typical materials frequently used for hard molds. Various polymeric materials, including polydimethylsiloxane (PDMS), polyurethane acrylate (PUA), polyvinyl alcohol (PVA) and polyvinyl chloride (PVC), have UV transparency, mechanical hardness and formability and thus can be used as the material for soft UV-NIL templates. Among these polymeric materials, PDMS is highly UV-transparent and has a very low Young’s modulus which gives it the flexibility required for conformal contact. It has a very low reactivity and interfacial energy toward the polymeric materials and is sufficiently elastic that it can be separated from the polymeric structure without destruction or distortion. In addition, PDMS mold has a low surface energy at the polymer interface, eliminates the problem of the polymer sticking to the surface of the mold during detachment, which has proved a critical defect of NIL. Currently, PDMS has been considered as standard soft mold material due to its favourable properties concerning flexibility, UV-transparency and low surface energy. However, the main drawback of PDMS materials is the high viscosity and swelling. It is necessary to develop much more new mold materials with better performances to meet new NIL requirements (e.g. conductive mold for electrical field assisted NIL, release agent-free mold) (Guo, 2007, Costner et al., 2009, Yokoo & Namatsu, 2009, Pfeiffer et al., 2002, Bender et al., 2004, Choi & Park, 2004 ).

3.2. Mold fabrication

Mold fabrication is one of the biggest hurdles to imprint acceptance due to the 1X pattern resolution required. The three major challenges for mold manufacturing are resolution, quartz etch uniformity, and defect inspection. For many of the early adopters of this technology, the first two items are of greatest interest, as their designs are not defect sensitive. The minimization of defects and the ability to verify template quality are ultimately necessary for CMOS type applications. Patterning of most templates in a commercial mask making facility is done using e-beam lithography. There are two types of e-beam writers in use today, shaped beam tools and spot beam tools. Shaped beam tools are used predominately by mask makers due to their higher throughput and superior image placement accuracy. Spot or Gaussian beam tools offer finer resolution, but write times tend to be significantly longer. This requires a mask maker to determine the requirements of each template job and choose the appropriate e-beam writing strategy (Maltabes & Mackay, 2006, Maltabes et al., 2005).

For NIL to be accepted across the industry, a new infrastructure for 1X template fabrication, inspection, and repair needs to be established. This is a challenge. Obducat uses its own e-beam lithography technology to support and develop its template technology. Molecular Imprint has been working with many industrial partners, including Dupont Photronics, Toppan Photomasks (template fabrication), Motorola, KLA-Tencor (template inspection), and Carl Zeiss (template repair), to establish a template infrastructure. Recently, BenchMark Technologies, Inc. started offering e-beam written standard nano-imprint test templates. Motorola Labs has been focusing on developing the template and wafer-level processes while optimizing the imprinting process and collaborating with external partners to optimize both the inspection and repair of imprint templates (Hussain et al., 2007). Dauksher et al., reviewed recent results of template fabrication (including template repair and inspection), the imprinting process, the wafer-level pattern transfer processes, and applications (Dauksher et al., 2006).

A variety of methods and processes (e.g. focused ion beam lithography, gray-scale lithography, atomic layer deposition, DLC coating, glass wet etching, et al.) have been proposed and utilized to fabricate the NIL molds for meeting various application demands. The current challenges for NIL templates focus on developing new processes and materials to implement the low cost and high throughput fabrication for sub-50nm soft mold, 3-D mold, large-area, sub-10nm rigid mold, and propose better solutions to solve the anti-adhesion, defect inspection, and mold lifetime issues.

3.2.1. 3D template

NIL with 3D templates can imprint advanced structures known as diffractive optical elements (DOEs), optical grating couplers, holograms, T-gates, and micro lens array (MLA), and the realization of 3D templates have been widely studied and reported. Currently, the fabrication process of 3D structured templates for UV-NIL applications by means of electron beam lithography (EBL) faces three major challenges. (1) E-beam exposures on UV transparent and therefore non-conductive substrates like quartz, (2) usage of thick resists layers with a low contrast for three dimensional patterning, and (3) reliable transfer of 3D structures into the template. Piaszenski et al., described a possible approach to solve all these different tasks. Through the use of low contrast PMMA resist, grey scale lithography could be performed for the definition of the molds pattern using a RAITH150 EBL system. The features could be transferred into the SiO2 substrate via RIE while the addition of O2 enables a modulation of the selectivity between the resist mask and the substrate. The mold was replicated via UV-NIL in one single step (Piaszenski et al., 2007). Kurihara et al., proposed two new techniques for practical 3D template manufacturing, which can conceptually make 3D templates faster than in the conventional manufacturing methods. The first one is to use a photolithography process with a dot modulated chrome mask, and the second one is a direct writing process with dot modulated pattern data, which is referred to as the dot modulated direct writing (DMDW). In both techniques, advantages of employing the dot modulation are to reduce the process cycles by using a single mask or single-exposure, and a single etching, and to improve the performance of the devices due to the relatively optimal analog relief surface, compared to the multi-level phase relief structures fabricated by multi-process technique (Kurihara et al., 2007). Taniguchi et al., described rapid and three-dimensional nanoimprint template fabrication technology using focused ion beam lithography (FIB). FIB lithography working with SOG resists can be used for speedy fabrication of three-dimensional nanoimprint template. Using fabricated SOG template, UV-NIL was carried out and replicated pattern with 59.8 nm gap was obtained. Because of its fast writing speed and good transfer properties, this combination of FIB lithography and SOG resist has the potential for alternative to EB cutting which is the next generation media mastering technique (Taniguchi et al., 2006). Ishii and Taniguchi proposed a fabrication method of three-dimensional mold using inorganic resist in low accelerating voltage electron beam lithography. Three dimensional patterns with a few hundred nanometer line width were fabricated with a control of acceleration voltage electron beam lithography (CAV-EBL). The pattern depths on inorganic resist were gradated with 5 nm depth-resolution per 30 V. By controlling the pattern depth, a seven stairs blade-shaped binary optics mold was fabricated, and then a replica pattern of the mold was made by using UV-NIL (Ishii & Taniguchi, 2007). High-quality 3D Ni stamps and molds featuring high aspect ratios as well as smooth vertical sidewalls and sharp straight edges were fabricated by PBW and nickel sulfamate electroplating. The stamp quality was improved by optimizing the plating process and utilizing pulse-reverse electroplating and backside polishing. To protect the original master, a process of metal-on-metal plating was employed (the so-called father–mother–son replication) to copy the master to secondary molds for imprinting applications (Ansari et al., 2006).

3.2.2. Large-area template

Full-wafer imprinting requires a full-wafer template; however, creation of a wafer-scale imprint template with sub-100 nm structures is not feasible with direct-writing approaches. Miller et al., described a practical methodology for creating wafer-scale templates suitable for full-wafer imprinting of sub-100 nm structures. The wafer-scale template is replicated from a smaller area master template using the S-FIL step-and-repeat process. A reverse tone process was used to maintain the original tone of the master template (Miller et al., 2007). Hussain et al., used atomic layer deposition (ALD) technique to fabricate nano-imprint templates with sub-15 nm template line width and 10 nm pitch length throughout the entire 200 mm wafer, varying the deposition thickness of multiple alternate films. ALD has the inherent advantage of being able to deposit ultra-thin conformal films even at atomic scale with excellent surface smoothness (Hussain et al., 2007). Resnick et al., addressed a methodology for creating high resolution thin templates for full wafer (or disk) imprinting. Half pitches of 21nm, using a PMMA lift-off process were formed on a template and imaged using an Imprio-1100 step and flash imprint lithography full wafer production tool. The templates have been applied toward the imprinting of both photonic crystal and patterned media devices using a large area printing tool developed around the S-FIL process (Resnick et al., 2007). Haatainen et al., proposed a step & stamp imprint lithography technique (SSIL) to produce large area metal stamps with wafer size up to 100 mm with 100 nm patterns. Electron beam patterned silicon stamps with size of a few square millimeters were used in patterning. The pattern of the stamp was transferred into a 100 mm silicon wafer coated with mr-I 7030 thermoplastic resist using SSIL sequential imprinting. The TiW/Cu field metallization was then sputtered onto the wafer. A 40–100 µm nickel layer was electroplated using a commercial plating bath and pulsed current source. The nickel stamp was detached from the substrate in a solvent. Compared to electron beam lithography the SSIL method is simple and fast leading to substantial saving in time (Haatainen et al., 2006).

3.2.3. High resolution template

Up to now, the resolution is not limited by the imprint process or the resist material applied but by the mold fabrication process. Recently, the small line edge roughness (LER) as well as the potentially high resolution that will ensure no-OPC mask feature is attracting many researchers. Since imprint lithography is a 1x lithography technology, sub-10 nm imprint mask with good LER over a large area is required for high throughput, low cost sub-10 nm imprint lithography. Currently, none of conventional lithography technologies are capable for the mask fabrication in this regime. Gu et al., showed experiments of using unconventional technology to fabricate imprint mask towards sub-10 nm regime with low LER over a large area. They reported for the first time the use of orientation dependent etching (ODE) of (110) c-Si in sidewall thin film technology for imprint mask fabrication with LER over a large area. Oxidation is used for sidewall thin film formation with a good critical dimension control. 2-dimensional oxidation effects are discussed. Features down to 12 nm have been fabricated successfully. Simulation showed that the fabricated oxide line is strong enough to imprint both thermoplastic and photo-curable imprint resists (Gu et al., 2005). Voisin et al., described a fabrication process developed to pattern nano scale features on thin silica wafers. The optimization of e-beam exposure dose was presented. Higher exposure doses are required on silica wafers coated with a chrome layer, compared to the case of silicon, but a larger process window is an advantage for the control of the exposed patterns. The etchings of the chrome layer and the silica wafer have been optimized and successfully performed. The optimization of both the exposure dose during e-beam lithography and the plasma dry etch steps, features with a resolution as low as 30 nm have been achieved (Voisin et al., 2007). Yoshida et al., investigated the possibility of 1X mold making with the extension of current photomask making, and found that there was a resolution limit of approximately 30nm for isolated spaces and approximately 50nm for dense features. By optimizing the resist material and quartz dry-etch process, 10nm isolated space and 35nm dense features are achieved using the spot beam writer. The resolution was improved by the non-CAR and the spot beam writer, instead of the CAR and the VSB writer. The quartz pattern side wall angle and the quartz pattern depth linearity on the mask were improved by modification of the quartz etching condition (Yoshida et al., 2006). Compare to silicon stamp, nickel stamp has high mechanical strength and durability, and can easily be duplicated using electroforming process. Hong et al., presented fabrication of 50 nm patterned nickel imprint stamp by combining hot embossing lithography and electroforming processes. Using hot embossing, the 50 nm features of original silicon stamp were transferred to PVC film without defects, nickel stamp, which has patterns as same as silicon master fabricated by nickel layer deposition on hot embossed PVC films (Hong et al., 2007). Yusa et al., achieved for the first time an hp22nm resolution on the 65nm template format. Both line and space patterns and hole patterns were well resolved. Regarding dot patterns, they still need improvement, but they have achieved resolution down to hp28nm (Yusa et al., 2007).

3.2.4. Flexible (soft) mold

Soft UV-NIL process enables the imprint of nanostructure on wafer scale but the resolution is limited by the fabrication processes of the flexible molds. One critical disadvantage of PDMS is its tendency to absorb organic solvents. To overcome the swelling of PDMS for organic solvent, Choi and Park applied the surface-modified PDMS mold by Teflon AF coating. To prevent the swelling of the PDMS mold by the monomer during the polymerization procedure, the mold surface is coated with an amorphous fluoropolymer, Teflon AF. The Teflon AF coating prevents the swelling and deformation of the PDMS mold and enables the creation of large area patterns with good fidelity. By using the surface-modified PDMS mold, the submicron scale polymer structures are successfully generated with good pattern fidelity (Choi & Park, 2003). The traditional fabrication method of the flexible molds is carried out by a cast molding process where an appropriate liquid mold material is deposited on a structured master, followed by thermal curing of the material. The main drawback of PDMS materials is the high viscosity. As a consequence, the profiles of nanopatterns on the mold are not completely defined, resulting in a loss of pattern height. Thus, the resolution of the mold fabrication process is limited by an inappropriate material flow for pattern geometries within the sub-100 nm regime. To overcome this problem previous literatures have reported the lowering of the viscosity of h-PDMS using triethylamine, toluene and hexane as solvent and demonstrated the imprinting of 75 nm lines with a pitch of 150 nm. However, due to the fragility of h-PDMS the usage for large scale imprint process and the reproducibility seems to be limited. Koo et al., presented an improved mold fabrication process using toluene diluted PDMS as mold material. They clearly demonstrated the capability of the technique to define patterns with 50 nm resolution over 4 in. with one imprint step only. The excellent homogeneity on wafer scale as well as the high degree of dimensional stability of both, mold fabrication and the imprint process was demonstrated (Koo et al., 2007). Chen et al., discussed a tri-layer soft mold, which consists of a rigid carrier, a low Young’s module buffer and a top layer supporting nanostructure patterns to be replicated. Typically, the buffer and the top layer are made of PDMS of 5 mm thickness and PMMA of 10-50 µm thickness respectively. Patterning of the mold top layer can be done in three different ways, i.e., spin coating, nano-compression and direct writing, all resulting in 100 nm features over a large wafer area. The fabricated soft molds have been used for the fabrication of high resolution and high aspect ratio gratings and pillar arrays ( Chen et al., 2005 ).

3.3. Key concern for NIL templates

3.3.1. Template surface treatment

The surface treatment, coating a low surface energy release layer or anti-adhesive layer, has been always regarded as the most important task for generating NIL templates. The surface treatment for NIL templates not only avoid the adhesion between template materials and resists which can improve imprint qualities, but it also increases the template lifetime significantly by preventing surface contamination. The template surface should allow the molded surfaces to detach easily from the mold, and once released, provide low friction, resulting in a continuous vertical slipping movement without sticking. Therefore, the templates need be treated using a low surface energy, self-assembled monolayer to ensure selective release at the template-etch barrier interface. This surface treatment is very durable and survives repeated imprints and multiple aggressive physical and chemical cleanings (Bhushan, 2007, Costner et al., 2009, DiBiase et al., 2006, Bailey et al., 2000). A common solution of this problem is to deposit a low-surface-energy release layer directly onto the mold. To accomplish this, self-assembled monolayers with low surface energies such as Teflon, CF3CH2CH2SiCL3(OTS) and CF3(CF2)5(CH2)2SiCL3(FDTS) have recently been adopted as an anti-adhesive layer to improve the demolding capabilities and to increase the lifetime of the mold. Fluorinated self-assembled monolayers (FSAMs) are also especially attractive as release agents because of their low surface energies, which in theory minimize the interaction between the polymer and the template. In addition, significant progress has been done to better improve the performance of antiadhesion surface treatment. Houle et al., discussed the adhesion between template materials and UV-cured nanoimprint resists. The process of interfacial separation of several model acrylate nanoimprint resist formulations cured in contact with clean (no release treatment) and release-treated silica glass surfaces has been quantitatively investigated. The results show that interfacial fracture energies are resist formulation dependent, that the resist-release layer systems studied are not chemically stable and that the release process is more complex than simple fracture at a glass-organic interface. Furthermore, they conclude that a criterion of low surface energy for a durable release coating in a UV curable nanoimprint system is not useful—it is low reactivity that is required (Houle et al., 2007). A thin film of PDMS is used as an anti-adhesion release layer because PDMS has a fairly low surface energy and allows for the easy release of the mold from the patterned polymer on the substrate. Lee et al., demonstrated the capability of the PDMS-based anti-adhesion surface treatment strategy for high resolution unconventional lithography using hard or soft molds as representatives of imprint lithography or soft lithography, respectively. The PDMS-based coating strategy used to achieve an anti-adhesion surface can be applied to various molds and polymeric materials on a substrate by adopting silane chemistry and the intrinsically low surface energy of PDMS (Lee et al., 2006). Surface treating imprint templates with FSAM layers is currently the dominant technique used to reduce interfacial adhesion in the S-FIL process. However, regardless of how these layers are applied, the durability of the FSAM over multiple imprints remains questionable (Costner et al., 2009). Park et al.; developed a new method of anti-adhesive coatings for Ni stamps which uses silane chemistry by introducing oxide surfaces. The (tridecafluoro-1,1,2,2-tetrahydrooctyl) trichlorosilane coating on SiO2/Ni substrates shows lowest surface energy, lowest friction constant, and best imprint results among the different coatings investigated (Park et al., 2004). Wu et al., investigated anti-adhesive effects of diverse self-assembled monolayers in NIL. Self-assembled monolayers such as CH3(CH2)17SiCl3 (OTS) and CF3(CF2)7(CH2)2SiCl3 (FDTS) are adopted as an anti-adhesive layer to increase the lifetime of the mold. This anti-adhesive monolayer may be the best choice for high-temperature nanoimprinting (Wu et al., 2007).

3.3.2. Defect inspection

Actually, the most challenge for NIL (particularly for imprinting high-aspect-ratio 3D patterns) is the defect inspection of molds and replicated patterns. As Hector, manager of advanced lithography at Freescale Semiconductor Inc. said, NIL is dominated by inspection and overall yield. Compared with the 4 X masks used by traditional lithography, NIL, which is a 1X lithography technology, the defect inspection of 1X templates (molds) may be much more difficult (Actually, mask inspection and repair are not available for NIL templates with sufficiently small defect sensitivity). Moreover, since most masks can only involve 2D patterns, it is not viewed as an ideal solution for using current metrologies and tools to implement the defect inspection of molds and replicated patterns with 3D features. Therefore, it is especially important to understand defect reason and mechanism as well as establish effective inspection methodologies for replicating the high-quality 3D nano-scale patterns using the NIL process. He emphasized the need for an adequate inspection tool with very small pixel size and very high speed in order to make the templates (Hand, 2009).

Due to the importance of defect inspection for NIL templates, a number of studies from both the academia and industrial bodies have been conducted to explore the issue. Some typical investigations are presented as follows. Yoshida et al., presented an optimization of measuring conditions for the templates of UV-NIL, and discussed some measuring techniques for CD precision and repeatability using a CD-SEM and a scanning probe microscope (Yoshida et al., 2008). JeffMyron et al., demonstrated that an advanced commercial photomask facility can fabricate templates with sub-100 nm critical dimensions, and that the CDs can be characterized using a commercially available CD-SEM metrology tool (Jeff Myron et al., 2005). Hess et al., developed a methodology to inspect the imprint templates with an existing commercial DUV inspection tool and discussed how e-beam inspection systems can extend the resolution beyond that of the DUV optical capability. They also reported the first systematic study of die-to-database electron beam inspection of patterns that were imprinted using an Imprio 250 system. The die-to-database inspection of the wafers was performed on an NGR2100 inspection system (Hess et al., 2004). McMackin et al., presented the study on high resolution inspection of step and flash imprint lithography for the 32 nm node and beyond. DiBiase et al., described a few non-traditional approaches to working with the extreme dynamic range of defect types found in the step and repeat NIL process. They examined the process of template defect inspection using a KLA-Tencor reticle inspection tool; Terascan 576. Inspection of the resulting wafers was performed on a KLA-Tencor 2365 wafer inspection tool. In addition to the conventional defect inspection tools, Archer Aim and KT 8450 CD SEM metrology tools were used to identify and characterize defect mechanisms (DiBiase et al., 2006).

3.3.3. Lifetime

The use of substantial pressure to not only contact but also penetrate a layer during imprinting accelerates the wear of imprint templates compared to other types of lithographic masks. That will lead to template wear, and shorten the lifetime of the template., in particular, for soft molds. How to extend template lifetime is another important issue for the real commercialization or industrial applications for NIL.

Advertisement

4. Imprint material

The progress and performance of NIL is closely linked with the availability of resists or imprint materials, which meet all the specific requirements of this technology. Imprint materials used for NIL are either utilized as an intermediate masking layer for the substrate or as a functional layer for a specific application. According to the process type, the materials used in NIL can be categorized into two main types: thermoplastic materials generally used in hot embossing lithography, and thermosetting (or curable) materials with either ultraviolet (UV) initiated precursors used in UV-NIL, or thermal initiation in the case of thermal curing imprint lithography. The unique advantage of a thermoplastic material is that the viscosity can be changed to a large extent by simply varying the temperature (Guo, 2007, Bhushan, 2007, Costner et al., 2009).

Guo (Guo, 2007) and Willson (Costner et al., 2009) recently presented a comprehensive reviews for NIL materials used. One wants to know much more details, please refer them. For the section, we only focused on some significant progresses in NIL materials. PMMA has been widely used as the thermoplastic polymer in NIL despite its requirements for high operating temperature (~ 200 C) and pressure (~2000 psi). In order to low operating temperature and pressure, Jun et al., from HP Lab, developed an alternative resist that is a viscous fluid at room temperature and cures at a lower temperature of 70 C than the operating temperature of the conventional thermal NIL (~ 200 C) ( Jun et al., 2005 ). Different enterprises and institutes have made UV-curable materials. Nanonex, MII, AMO, and Obducat offer these materials adapted to their proprietary process and tool. UV-curable NIL materials are composed of a mixture of monomers (or prepolymers) and a suitable photo initiator, and often chemicals are added which decrease the effect of radical scavengers on photo polymerization. Micro resist technology presented a novel, spin-coatable UV-NIL polymer, mr-UVCur A, which fulfills the specific requirements of an UV-NIL process. These are film thicknesses down to 100 nm, low viscosity, good film quality, fast UV-curing at low UV doses, good adhesion properties, high pattern fidelity and high plasma etch resistance (Kim et al., 2006).There is a demand for new materials with properties more appropriate for the particular requirements of nanoimprinting. One critical requirement is to provide mold releasing properties during the de-molding process while not compromising the adhesion of the mold to the substrate. When imprinting high density patterns, the imprinted polymer tends to adhere to the mold, creating pattern defects that are not acceptable for many applications. Therefore, a material with low surface energy is desirable. Another critical property of resists is that they must have high etching resistance to allow pattern definition to be completed (Froelich, 2009). An electrically curable resist has been recently developed that can make electric imprint lithography (EIL) a reality. The resist is composed of a diaryliodonium salt photo acid generator and a cycloaliphatic epoxy monomer. Its polymerization takes place when an electric potential is applied between a conductive imprint mold and a substrate which sandwich the resist. A proof-of-concept pattern transfer by EIL with a micron-scale resolution has been demonstrated (Ahn et al., 2009).

A functional material may be imprinted directly to form a layer in a chip with no need for pattern transfer into underlying materials. The successful implementation of a functional imprint material would result in significant cost reductions and increased throughput by eliminating many difficult chip fabrication processing steps. Therefore, there is a strong need for the development of additional imprint material formulations that can become functional materials that remain on the imprinted structure and are used for other patterning applications (Kettle et al., 2008, Reuther, 2005).

Advertisement

5. NIL tool

This section presented recent progress in NIL equipments and key components. NIL can be carried out using three different types of machines: single, step-and-repeat, and roller imprint, as shown in Fig.11. Single-imprint machines pattern the surface of an entire wafer in one step. Thus the mold must have the same size as the wafer to be patterned. Step-and-repeat NIL machines pattern a smaller area of a wafer at a time, and then move to an unpatterned area, where the process is repeated. The process is continued until the whole wafer is patterned. Roller-type NIL tools continuously make large-area patterns based on roll-to-roll or roll-to-plate methods (Bhushan, 2007).

Figure 11.

Outline of the three most common types of NIL tools (Bhushan, 2007).

5.1. Overview of the typical NIL tools

Currently, there are five leading suppliers for the NIL tool and process in the world which include Molecular Imprints, Obducat, EVG, Süss, Nanonex. They have now developed and held their own proprietary NIL processes or patents respectively, for instance, Simultaneous Thermal and UV (STU®) technology and Intermediate Polymer Stamp (IPS®) technology from Obducat; J-FIL® (formerly named S-FIL®) and Double-sided Patterning from Molecular Imprints; Substrate Conformal Imprint Lithography (SCIL) for Süss from Philips patent; Air Cushion Press from Nanonex, and Soft UV-NIL and Step and Repeat Process for EVG from AMO. Recently, they have released new product families.

Obducat, a global leader in NIL equipment and processes, presented recently their High Volume Manufacturing (HVM) NIL system, featuring a throughput of 90 wafers per hour and cassette to cassette handling of up to 8-inch diameter substrates. The machine is designed for full area imprinting using the company’s intermediate polymer stamp (IPS) process, which lowers the risk of substrate contamination by replicating the master stamp on an intermediate polymer film, which is used once for imprinting and then discarded (Obducat, 2009). The Imprio® HD2200 system represents the next generation in fully automated nano-imprint lithography for the hard disk drive (HDD) market. Its precision patterning fidelity, automated double-sided disk patterning capability and high throughput of 180 disks per hour all contribute to significant advantages in performance and cost of ownership (CoO) over alternative technologies such as spin-on UV imprint and thermal imprint. The Imprio HD2200 uses a liquid, room-temperature process and does not encounter the thermal distortions associated with the baking step or the defect issues associated with the lamination pressure of thermal imprint processes. Due to these and other advantages, HDD manufacturers are quickly standardizing on Molecular Imprints’ imprint technology for their commercial discrete track recording (DTR) and bit patterned media (BPM) roadmaps. The Imprio® HD2200 is ideally suited for both development and pilot-production of patterned media applications. In Feb., 2008, Molecular Imprints, Inc. introduced the latest addition to its family of imprint lithography tools for semiconductor applications. Incorporating improvements in automation, tool throughput and overlay performance, the Imprio® 300 system represents the industry's highest resolution and lowest cost-of-ownership patterning solution for IC prototyping and process development at the 32nm node and beyond. The Imprio 300 offers sub-32nm half pitch resolution, sub-10nm overlay, integrated magnification control, and fully automated wafer and template loading capability. The Imprio 300 is ideal for device and process prototyping and pre-production for 32nm resolution, 22nm resolution, and beyond. The Imprio® 1100 system represents the next generation in fully automated nano-imprint lithography, combining the resolution and CD control of e-beam lithography with the throughput, overlay, and operating simplicity of a mask aligner. The Imprio 1100 is ideally suited for applications in LEDs, laser diodes, optical components, and patterned media development (MII, 2009). EVG 620 is a full-wafer Soft UV Nanoimprint tool which has high throughput mask aligner with >130 wafers per hour (first print, 1s exposure time), Wafer sizes up to 150 mm. IQ Aligner allows for customization with a special tooling to perform imprint processes with stamps and wafers from 100 mm to 300 mm in diameter. In 2009, EV Group unveiled next-generation UV-NIL Step and Repeat System-the EVG770 Gen II NIL Stepper. Some of the key new features the EVG770 touts include vacuum imprinting on a spun-on polymer layer, which eliminates defect issues caused by trapped air bubbles--ultimately resulting in superior pattern fidelity. With these new capabilities, the EVG NIL stepper tackles issues faced by other UV-NIL approaches in which vacuum-less environments and resists are dispensed as droplets rather than pre-spun, which cause easy air traps and impact structural integrity (EVG, 2009, AMO, 2009). A new generation of NIL production equipment has been developed by SUSS MicroTec in partnership with VTT Microelectronics, and introduced at Semicon Europa. The Nano-imPrinting Stepper NPS300 is the first NIL equipment which offers both hot and cold embossing of wafers in a single step-and-repeat system. The NPS300 provides a sub-20 nm imprinting resolution in a system that is optimized for the cost-effective volume production replication of micro or nanometer scale devices. Applications include integrated optical devices such as passive devices on diodes, gratings, photo refractive polymers, back side illumination devices; smart materials for microelectronics, such as sensors, resonators and transducers; sensors for temperature, light, molecules, and biomedical sciences; replication of three-dimensional objects such as MEMs components. When equipped with the automatic alignment option, the NPS300 has 250nm overlay accuracy and accepts stamps with sizes up to 100 mm and with thicknesses up to 6.5 mm. The imprinting force ranges from 5 newtons to 4,000 newtons. The active area of the stamp can be up to 40 x 40 mm for cold embossing and 100 x 100 mm for hot embossing (SUSS, 2009).

5.2. Key components for NIL tools

Generally, a NIL tool mainly consists of the following components: the imprint module, the substrate (wafer) stage, the overlay alignment module, the measurement module, and the environment control module. Among these basic elements, both the overlay alignment and the substrate (wafer) stage are considered as the core units.

5.2.1. Overlay alignment

Accurate multi-level overlay capability for NIL is essential to integrated circuit manufacturing and other multilayer imprint applications. The overlay alignment has been considered as one of the most challenges for NIL tools.

Overlay in NIL is different from that in conventional optical lithography and next generation lithography such as EUV. In optical lithography, one can fix the gap between the mask and the wafer and then perform the alignment and the exposure. In NIL, one needs to do alignment while bringing the template in contact with the resist on the wafer and then imprint into it. Accurate alignment is difficult with the mold and the substrate in contact, or nearly in contact, both because of mechanical interference as well as because of viscous forces arising from the polymer. This is of course especially true if heat and pressure are needed to mold the polymer. On the other hand, if the mold template and the substrate are separated by a large gap, the accuracy is limited by the difficulty of simultaneously imaging fine features on both of them (Jiang & Feldman, 2005). In addition they must be brought into contact without losing the alignment. Mühlberger et al., demonstrated alignment accuracies in the range of 100 nm and below in UV-NIL using a simple optical technique (a Moiré method). The advantages of this technique are the relative simplicity of the marker-design and the whole setup combined with the possibility of an upgrade of existing equipment and still ultra-high precision alignment capabilities (Muhlberger et al., 2007). Jiang and Feldman proposed a solution to the problem of obtaining accurate alignment over an extended imprinted area. They described how an alignment technique that was previously reported to have 18 nm 3σ accuracy can be combined with a stage that has low stiffness in the direction of motion and extremely high stiffness in the transverse directions. Such a combination would go a long way towards making imprint lithography a practical tool for integrated circuit fabrication (Jiang & Feldman, 2005). Shao et al., presented a novel overlay process for imprint lithography using load release and alignment error pre-compensation method. The experimental results demonstrated that the proposed process is an effective method to correct force-induced distortion and position shift, and the overlay precision is excel to 30 nm (3σ) as a soft mold is used (Shao et al., 2009). Li et al., reported sub-20-nm alignment in NIL using Moire´ fringe. Using the “beat” grating image (Moire´ fringe) generated by overlaying two sets of gratings that have slightly different periods, they obtained an alignment signal with a sensitivity better than 10 nm in NIL. The alignment signal is, as expected, independent of the size of the gap between the wafer and the imprint mold. A single-point overlay accuracy (error distribution) of sub-20 nm between the first and second imprinted layers by using two sets of Moire´ fringes was achieved. With higher precision nanopositioning stages, better single-point alignment accuracy is expected. Furthermore, they achieved sub-150 nm alignment over an area of 1 sq in and sub-250 nm over the entire area of a 4 in wafer using simple low-resolution stages without temperature control or wafer-mold mismatch compensation. With better stages, precision temperature control, and wafer-mold mismatch compensation, they believe that much higher overlay alignment accuracy over large areas (either in a 1 sq in die or a full wafer) is feasible (Li et al., 2006). Pan and Chen developed a self-built multilayer aligner for multilayer-imprint process to fabricate thin film transistor-liquid crystal display (TFT-LCD). Two stereo-microscopes were set up to examine angle deviation errors. The resolutionsof X-Y-Z and Ø axis are 1µm and 0.5 degree, respectively (Pan & Cheng, 2008). Shao et al., proposed an alignment measurement method using a moiré fringe pattern which involves relative rotation positioning and relative linear displacement measurement. Taking full advantage of the magnification effect of moiré fringe in angular and linear displacement, the relative rotation between the template and the wafer is determined first by measuring the inclination of the moiré fringe, and then the relative linear displacement between them is acquired by evaluating the spatial phase shift of two matched moiré fringes. The repeatability for the misalignment measurement has reached 4.8 nm 3σ (Shao et al., 2008).

5.2.2. Wafer stage

The prominent feature for the NIL is a contact imprinting process over the conventional optical lithography and NGL, therefore, the requirements for the NIL substrate stages are much different from and much more difficult than current wafer stages used. The substrate stage plays a particularly crucial role for the NIL machines. The substrate stage not only need carry out the precision position and an overlay alignment, but also ensure uniform and parallel surface contact between a template and a wafer while minimizing relative lateral motions during imprinting process. In other words, the wafer stage should implement the following functions: two translations along X and Y, and one tilting motion about Z (Θz or yaw) to carry out the step and repeat positioning and an overlay; one translation along Z and an two rotations about X and Y (Θx and Θy, or Roll and Pitch) to fulfill the parallel surface contact between a wafer and a template. It could be accomplished by either an actively controlled stage or a passive compliant mechanism. Passive compliant stages may have a simpler and more compact structure than actively controlled stages, and offer very high repeatability for lower cost and complexity. However, a passive stage cannot incorporate error correction and feedback into an operating scheme. It is also especially difficult for a passive compliant stage to carry out a high resolution overlay function. Actively controlled stages may have a complicated structure and control system that consists of actuators, high resolution sensors, control algorithms, etc. But, an active stage with feedback control is one step toward a stage capable of performing high resolution layer-to-layer alignment and would provide a controlled mechanism of separation between the substrate and the template during imprinting process. This stage also enables the qualification of separation forces in a more rigorously controlled manner than is possible with the current configuration. For a real commercialization NIL machine, actively controlled stage may be an ideal and better scheme over passive compliant stage. Although a number of challenges and difficult works may have to be faced and resolved.

In order to further improve the performance of NIL machines and implement the multi-step and multi-level imprint process, it is necessary to develop a 6-DOF actively controlled stage. Two preliminary schemes for implementing 6-DOF actively controlled stage for NIL may be feasible. The first is a combination of two linear motors and an air bearing stage capable of entailing the dynamic load from zero to hundred Newton. Another is a combination of two linear motors and a flexure-based parallel kinematics stage which may be a more feasible and simpler scenario. In order to develop an actually actively controlled stage for NIL, a number of challenges and hard issues may still have to be faced and resolved. The mechanical stage generally suffers from poor dynamics, a result of the compound flexures used. There is increasing interest in the semiconductor industry to utilize magnetic levitation stage as the techniques become more and more feasible and lower cost. Since planar motors have light weight and compact structure, it is very attractive as an actuator in the flexure based motion stage. The future actively controlled stage for the NIL may a combination of a planar motor (coarse stage) and a magnetic levitation stage (fine stage) (Lan et al., 2007).

Advertisement

6. NIL applications

6.1. Introduction to NIL applications

NIL has been used to fabricate various devices for nanoelectronics, nano-optoelectronics, nanophtonic, optical components, biological applications, etc. The patterns can take many forms, from simple rectilinear patterns for nanowire development to complex diffractive optical elements for LED general lighting applications. The applications of NIL can be divided into two main categories: pattern-transfer applications and polymer devices. In the first category, pattern-transfer applications, the nanoimprinted resist structure is used as a temporary masking layer for a subsequent pattern-transfer step. In the second category, polymer devices, the imprinted pattern adds functionality to the polymer film, which is the end product (Bhushan, 2007). The NIL process offers new possibilities to form polymer devices with microscale to nanoscale features. Nanoscale patterned polymer films find a wide range of applications within optics, electronics and nano-biotechnology. The capability to form 3D polymer structures, with curved surfaces and high aspect ratios, paves the way for new classes of polymer based passive optical devices, such as lenses and zone plates, photonic crystals and integrated polymer optics. The NIL technique allows for choosing a wide range of polymers with optimized optical properties, and allows for the patterning of thin films of organic light-emitting materials and polymers doped with laser dyes to create organic light-emitting devices (OLED) and lasers. NIL is also suitable for nanoscale patterning of conducting organic films for cost-effective organic electronics (Bhushan, 2007, Guo, 2004).

According to current reports, NIL current applications mainly involve the following fields: magnetic storage media (hard disk media, NAND flash memory), optical storage media (HD-DVD, Blu-Ray), photonic (opto) electronics (high brightness LEDs, OLED, LCD, field emission display, flat panel display, organic light emitting display, flexible macro-electronic, ), optical elements (microlens, diffractive grating, waveguide, tunable optical filters, nano wire grid polarizer), biological devices (biosensors, Nanofluidic devices, microarrays for genomics, proteomics and tissue engineering, nanoscale protein patterning), nanoelectronics (molecular electronics, AFM tips, ), high-end semiconductors and high density interconnects, other NEMS/MEMS applications (solar cell, fuse cell, CNT sensor, etc), etc. In particular, NIL techniques currently have demonstrated great commercial prospects in several market segments, hard disk drives (HDDs), high-brightness light-emitting diodes (LEDs), flat panel displays, flexible macro-electronics devices, optical components and functional polymer devices. Some specific equipments have been developed by the key NIL providers, such as Imprio® HD2200 and Sindre® 800 for HDD, Imprio 1100 for LED. Table 1 shows the industrialization application roadmap for NIL from Obducat (Obducat, 2009).

Application areas - industrialization status
Applications Pre-production Mass production
Magnetic storage 2005-2006 2006-2007
Optical storage (EBR) 2005-2006 200 6 -200 7
Opto electronics 2005-2006 200 6 -200 7
Displays 2005-2006 2006-2008
Polymer electronics 2005-2007 200 7 -200 9
Bio applications 2005-2007 2007-2009
Molecular electronics 2006-2008 2007-2009
Semiconductors - Low End 2006-2008 2007-2009
Semiconductors - High End 2010-2012 20 12 -20 13

Table 1.

Industrialization application roadmap for NIL from Obducat (Obducat, 2009).

6.2. Typical applications

6.2.1. Hard-disk drives (HDDs)

Since the first demonstration of NIL in the mid 1990s, patterned magnetic media for hard-disk drives (HDDs) has been a key application, driving the development of NIL technology (Bhushan, 2007). Obducat researches performed a comparison among the electron beam lithography, EUV, scanning probe lithography, and NIL, as shown in Fig. 12, the results indicated that NIL is much more suitable for the fabrication process than other processes (Obducat, 2009). Now, HDD is the most successful case in NIL applications. NIL has emerged as a likely candidate for high-volume fabrication of patterned media disks, and electron beam lithography as the method required to produce nanoimprinting master stamps. Toshiba Corporation recently announced a prototype hard disk drive (HDD) that uses discrete track recording (DTR) technology, which enabled a capacity of a record-breaking 120GB on a single 1.8-in. disk. Toshiba plans to release product to the market with DTR at the end of 2009 (Toshiba, 2009). Nanoimprint providers and their users are also focusing on the high-density memory — such as NAND flash. Furthermore, some specific equipments such as, Imprio® HD2200 and Sindre® 800, have been developed for HDDs. However, many challenges in implementation of nanoimprinting technology into patterned media disk fabrication process still abound, such as choice of nanoimprinting resist, pattern transfer fidelity and uniformity, and lifetime of master stamp. System-level integration issues also create additional challenges in track-following, head-disk interface, and signal processing.

Figure 12.

Comparison of NIL and other processes (Obducat, 2009).

6.2.2. LED

NIL can be used as a most effective patterning tool to fabricate photonic crystal for high efficiency and low cost LED devices. Rapid progress is being made to reach manufacturing quality and cost targets suitable for high volume LED manufacturing. Obducat launched high volume LED NIL system in 2009. The system, named Sindre 400, is the world’s first fully automated system for high volume manufacturing of LEDs. The first commercial system has already been ordered and the buyer is Luxtaltek, the Taiwan-based manufacturer of LEDs (Obducat, 2009). The Imprio® 1100 system developed by Molecular Imprints is ideally suited for applications in LEDs, laser diodes, optical components, and patterned media development (MII, 2009). Current throughput, cost and defect densities are acceptable for initial production.

NIL is being used by a number of leading edge device manufacturers and universities to develop photonic crystal and other light extraction technologies for LEDs. Scientists at Glasgow University along with the Institute of Photonics at the University of Strathclyde devise brighter LEDs via NIL. LEDs have not been introduced as the standard lighting in homes because the process of making the holes is very time consuming and expensive. Using NIL makes millions of microscopic holes on the surface of a LED bulb, which increase the amount of light the LEDs give off. They believe they have found a way of imprinting the holes into billions of LEDs at a far greater speed, but at a much lower cost (Glasgow, 2009). Byeon et al., presented a fabrication process of photonic crystals in a p-GaN layer was established to improve the light extraction efficiency of LEDs by using NIL and inductively coupled plasma etching process. Two-dimensional pillar array patterns were uniformly fabricated on the p-GaN layer and the photoluminescence intensity of the photonic crystal patterned LED was increased by 2.6 fold compared to that of the same LED sample without photonic crystal patterns (Byeon et al., 2007). Ono et al., presented the fabrication of high-intensity LEDs using nanostructures by UV-NIL and electrodeposition. Nanostructures of 600 nm in depth, 300 nm in diameter, and in 500 nm pitch were fabricated on a GaN substrate. The radiant intensity of the LEDs was increased 1.5 times compared to that of a conventional LED (Fukuhara et al., 2007). Kao et al., reported a new patterning method to fabricate the patterned organic light emitting devices (OLEDs) with pixels of 500 μm × 300 μm on the flexible PET substrates using a combined roller imprinting and photolithography. This technique is potentially cost-effective, offers high throughput, less time-consuming and is suitable for fabrication on flexible substrate (Kao et al., 2005). However, there are several challenges that must be overcome before NIL can be applied to typical LED substrates (Hershey et al., 2007).

6.2.3. Optical elements

NIL is able to achieve aspect ratios greater than 10. A fine grating with high aspect rate pattern is one of the essential elements for advanced nano optical devices such as a quarter wave plate. The fabrication of high aspect ratio pattern as high as 8.75 has been successfully demonstrated using PMMA thin film on quartz substrate and Si based mold by NIL. This proposed method is a promising technology for industrial production of advanced nano optical elements having high aspect ratio structure (Hirar et al., 2004). Glinsner et al., presented soft UV-NIL for large area imprinting applications such as the production of optical components (e.g. micro lenses). A resolution well below 50 nm was demonstrated with soft stamp materials over areas as large as 150 mm wafers. Although the resolution of the patterning process is in the sub-50nm regime the currently most likely applications fields play in the resolution regime between 20µm and sub-200nm. This is the field for micro-optics, photonics, microfluidics and a vast number of sensory devices (Glinsner et al., 2007). Chaix et al., described how NIL was developed on 200 mm wafers for the fabrication of two optical devices: parts for optical encoders and organic light emitting diodes with enhanced light extraction efficiency (Chaix et al., 2007). NIL is also ideally suited for the fabrication of polymer nanophotonics and waveguide devices with submicron critical dimensions, defined over large areas. It is also compatible with many polymer materials, giving large freedom to choose a material with specific optical properties

As a result, data storage and optical displays will most likely be the first industrial application fields where replication techniques will be able to replace standard methods of lithography. Imprint structures and devices in polymer and functional polymers may become the next promising application field.

Advertisement

7. Prospects and challenges in NIL

NIL has now been considered as an enabling, cost-effective, simple pattern transfer process for various micro/nano devices and structures fabrications. Two unique benefits of NIL is the ability to pattern 3-D and large-area micro/nano structures with low cost and high throughput. In addition, NIL is able to achieve aspect ratios greater than 10. The progress made in the recent years enabled NIL not only a serious NGL candidate but also to a platform for one of the ten technologies in MIT Technology Review being evaluated to change the world (Fuchs et al., 2008). It has been added to the ITRS for the 32 and 22 nm nodes. Toshiba has shown impressive nanoimprint data connected to 18 nm feature size work: &1 nm CD uniformity, &2 nm LER, and the chipmaker confirms down to 20 nm overlay for the Molecular Imprints tool. Compared with the hot embossing or thermal nanoimprint lithography, UV-NIL offers several decisive technical advantages concerning overlay alignment accuracy, simultaneous imprinting of micro- and nanostructures and tool design due to the absence of high imprint pressures and thermal heating cycles. As a high-resolution patterning technique that has been used to print patterns as small as 2.4 nm, the capacity for high-resolution patterning makes NIL an attractive NGL technique for sub-50-nm device fabrication. Currently, NIL techniques have demonstrated great commercial prospects in several market segments, hard disk drives (HDDs), high-brightness light-emitting diodes (LEDs), flat panel displays, flexible macro-electronics devices, and polymer and functional imprint materials.

However, NIL is still facing many serious challenges. The currently crucial challenges for NIL include overlay alignment, template fabrication, defect control, high yield, and seeking especially suitable application fields. In particular, two key challenges remain for NIL before it can be adopted for semiconductor manufacturing: alignment (overlay) and template/mold fabrication. For the NIL process, the future development will focus on the following several aspects: external fields (e.g. electric field) assisted NIL, RNIL, combined NIL with other nanofabrication processes, as well as developing novel NIL processes. One exciting opportunity is the development of industrial-level roll-to-roll imprinting tools and processes, which could provide unprecedented throughput for many practical applications. In the future several years, the roller-type imprinting process will be commercially applied to OLED, flat panel display, and flexible macro-electronic fields. In addition, complex 3-D nanostructures fabrication based on NIL is also a crucial direction which be further studied. Furthermore, it is considered as a promising method to product optical elements, solar cell, 3D-photonic crystals, etc. The current challenges for NIL templates focus on developing new processes and materials to implement the low cost and high throughput fabrication for sub-50nm soft mold, 3-D mold, large-area, sub-10nm rigid mold, and propose better solutions to solve the anti-adhesion, defect inspection, and mold lifetime issues. In addition, new making mold methods also need be further developed to meet the requirements from emerging market applications. It is possible that self-assembled structures will provide the ultimate solution for templates of periodic patterns at scales of 10 nm and less. It is also possible to resolve the template generation issue by using a programmable template in a scheme based on double patterning. Compared to optical lithography, the alignment in NIL process is especially difficult to perform since the template and the substrate are in contact, or nearly in contact. For alignment, furthermore, a NIL tool lacks the expensive optics and extremely precise stages, which are two of the cost-drivers for a photolithography stepper. Currently, the highest alignment accuracy is sub-10nm (3 sigma, single point, X,Y) from the Imprio® 300. It is still a severe challenge for commercial NIL tools to develop new alignment solutions with low cost and high accuracy so far. In addition, it is necessary to exploit and develop the six-Degree-of-Freedom active control substrate stage with multi-step and multi-level functions and the new overlay solution with sub-10nm alignment accuracy. For resists, there are still significant challenges to develop low viscosity resists and new functional materials to meet the stringent requirements of new NIL processes and NEMS/MEMS applications. Therefore, the future investigations for NIL materials should focus on the following three aspects; low viscosity resists, various functional materials and nanoimprint resist materials with high etching resistance. For the NIL application, apart from the current applications, it is the most critical challenges for NIL to find promising application fields and devices, for which NIL is considered as the most suitable fabrication process. Direct printing of functionalized resists finally will enter the extremely hot field of future device generations through a massive reduction of process steps in the fabrication sequence of complex systems. If the bridge between bottom up and top down is established the fabrication of highly dense complex structures with new functionalities will certainly dominate the future of UV-NIL (Fuchs et al., 2008). A crucial requirement for being able to further extend the success of the NIL technique is to improve resolution and throughput of conventional NIL schemes as well as to explore unconventional ways to utilize NIL processes for fabrication of novel nanodevices and nanomaterials.

There is still a long way to go for NIL technology and various industrial applications. Further potentials of NIL need still to be explored and developed.

Advertisement

Acknowledgments

This work was partly supported by the 973 Basics Science Research Program of China (Grant No. 2009CB 724202), National Science Foundation of China (Grant No. 50775176) and National Science Foundation of Shandong Province (Grant No.Y2007F49).

References

  1. 1. Ahn S. Cha J. Myung H. 2006 Continuous ultraviolet roll nanoimprinting process for replicating large-scale nano- and micropatterns, Appl. Phys. Lett, 89, 213101
  2. 2. Ahn S. Guo J. 2008 High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates, Adv. Mater., 20 2044 2049
  3. 3. Ahn Y. Chen Y. Hahn T. 2009 A resist for electric imprint lithography, Microelectronic Engineering, 86 392 396
  4. 4. AMO, http://www.amo.de/imprint_process.0.html, 2009
  5. 5. Ansari K. Kan J. Bettiol A. et al. 2006 Stamps for nanoimprint lithography fabricated by proton beam writing and nickel electroplating, Journal of Micromechanics and Microengineering, 16 1967 1974
  6. 6. Bailey T. Choi B. Colburn M. et al. 2000 Step and flash imprint lithography: Template surface treatment and defect analysis, J. Vac. Sci. Technol. B, 18 6 3572 3577
  7. 7. Balla T. Spearing S. Monk A. 2008 An assessment of the process capabilities of nanoimprint lithography, J. Phys. D: Appl. Phys., 41, 174001
  8. 8. Bender M. Plachetka U. Ran J. et al. 2004 High resolution lithography with PDMS molds, J. Vac. Sci. Technol. B, 22 6 3229 3232
  9. 9. Bender M. Fuchs A. Plachetka U. et al. 2006 Status and prospects of UV-nanoimprint technology, Microelectronic Engineering, 84, 4-9, 827 830
  10. 10. Bhushan B. 2007 Handbook of Nanotechnology, Springer, 978-3-54029-855-7 Berlin Heidelberg
  11. 11. Bogdanski N. Wissen M. Möllenbeck S. et al. 2007 Challenges of residual layer minimisation in thermal nanoimprint lithography, Proceedings of the SPIE, 6533, 65330Q
  12. 12. Busnaina A. 2006 Nanomanufacturing handbook, Talor & Francis, 978-0-84933-326-2 Boca Raton, London, New York
  13. 13. Byeon K. Hwang S. Lee H. 2007 Fabrication of two-dimensional photonic crystal patterns on GaN-based light-emitting diodes using thermally curable monomer-based nanoimprint lithography, Applied Physics Letters, 91, 091106
  14. 14. Chaix N. Landis S. Gourgon C. et al. 2007 Nanoimprinting lithography on 200 mm wafers for optical applications, Microelectronic Engineering, 84, 5-8, 880-884.
  15. 15. Chang C. Yang S. Sheh J. 2006 A roller embossing process for rapid fabrication of microlens arrays on glass substrates, Microsystem Technologies., 12 8 754 759
  16. 16. Chen L. Deng X. Wang J. et al. 2005 Defect control in nanoimprint lithography. J. Vac. Sci. Technol. B., 23 6 2933 2938
  17. 17. Chen Y. Roy E. Kanamori Y. et al. 2005 Soft nanoimprint lithography, Proceedings of SPIE, 5645, 283 288
  18. 18. Cheng X. Guo J. 2004 A combined-nanoimprint-and-photolithography patterning technique, Microelectronic Engineering, 71, 3-4, 277 282
  19. 19. Chou Y. Krauss R. Renstrom J. 1995 Imprint of sub 25 nm vias and trenches in polymers, Appl Phys Lett., 67 21 3114 6
  20. 20. Chou Y. Krauss P. Renstrom P. 1996 Imprint lithography with 25-nanometer resolution, Science, 272 5258 85 87
  21. 21. Chou Y. Krauss P. Zhang W. et al. 1997 Sub-10 nm imprint lithography and applications, J. Vac. Sci. Technol. B., 15 6 2897 2904
  22. 22. Chou Y. Keimel C. Gu J. 2002 Ultrafast and direct imprint of nanostructures in silicon, Nature, 417 835 837
  23. 23. Choi W. Park O. 2003 A soft-imprint technique for direct fabrication of submicron scale patterns using a surface-modified PDMS mold, Microelectronic Engineering, 70 131 136
  24. 24. Choi W. Park O. 2004 A soft-imprint technique for submicron-scale patterns using a PDMS mold, Microelectronic Engineering, 73-74, 178 183
  25. 25. Costner E. Lin M. Jen W. et al. 2009 Nanoimprint lithography materials development for semiconductor device fabrication, Annu. Rev. Mater. Res. 39 155 80
  26. 26. Dauksher J. Le N. Ainley E. et al. 2006 Nano-imprint lithography: templates, imprinting and wafer pattern transfer, Microelectronic Engineering, 83 929 932
  27. 27. DiBiase T. Maltabes J. Reese B. et al. 2006 Building 1X NIL templates: challenges and requirements, Proc. of SPIE, 6151, 61511E
  28. 28. Dumond J. Low H. 2008 Residual layer self-removal in imprint lithography, Adv. Mater., 20 1291 1297
  29. 29. EVG, http://www.evgroup.com/, 2009
  30. 30. Froelich S. New materials and applications of nanoimprint technology. http://www.nnin.org/doc/2004NNINreuFroelich.pdf, 2009
  31. 31. Fuchs A. Bender M. Plachetka U. et al. 2008 Lithography potentials of UV-nanoimprint, Current Applied Physics, 8 669 674
  32. 32. Fukuhara M. Ono H. Hirasawa T. et al. 2007 UV nanoimprint lithography and its application for nanodevices, Journal of Photopolymer Science and Technology, 20 549 554
  33. 33. Gao H. Tan H. Zhang W. et al. 2006 Air cushion press for excellent uniformity, high yield, and fast nanoimprint across a 100 mm field, Nano Lett., 6 11 2438 2441
  34. 34. Glasgow, 2009 Brighter LED lights could replace household light Bulbs within three years, http://www.sciencedaily.com/releases/2008/01/080109083914.htm.
  35. 35. Glinsner T. Plachetka U. Matthias T. et al. 2007 Soft UV-based nanoimprint lithography for large area imprinting applications, Proc. of SPIE, 6517, 651718
  36. 36. Gu J. Jen C. Wei Q. et al. 2005 Mask fabrication towards sub-10 nm imprint lithography, Proc. of SPIE, 5751 382 391
  37. 37. Guo J. 2004 Recent progress in nanoimprint technology and its applications, Journal of Physics D: Applied Physics., 37, R123 R141
  38. 38. Guo J. 2007 Nanoimprint lithography: methods and material requirements, Advanced Materials, 19 4 495 513
  39. 39. Haatainen T. Majander P. Riekkinen T. et al. 2006 Nickel stamp fabrication using step & stamp imprint lithography, Microelectronic Engineering, 83 948 950
  40. 40. Han K. Hong S. Lee H. 2007 Fabrication of complex nanoscale structures on various substrates, Applied Physics Letters, 91, 2, 123118
  41. 41. Hand A. Nanoimprint templates need high-quality inspection, http://www.semiconductor.net/article/CA6303358.html, 2009
  42. 42. Hershey R. Doyle G. Jones C. et al. 2007 Imprint lithography advances in LED manufacturing, Physica status solidi. C. Current topics in solid state physics, 4 1 21 24
  43. 43. Hess H. Pettibone D. Adler D. et al. 2004 Inspection of templates for imprint lithography, Journal of Vacuum Science and Technology B, 22 6 3300 3305
  44. 44. Heyderman L. Schift H. David C. et al. 2000 Flow behaviour of thin polymer films used for hot embossing lithography, Microelectronic Engineering, 54 229 245
  45. 45. Hirar Y. Konishi T. Kanakugi T. et al. 2004 High aspect ratio grating fabrication by imprint lithography, Proceedings of SPIE, 5515 187 194
  46. 46. Hiroshima H. Komuro M. 2007 Control of bubble defects in UV nanoimprint, Japanese Journal of Applied Physics, 46, 9B, 6391 6394
  47. 47. Hiroshima H. 2008 Nanoimprint with thin and uniform residual layer for various pattern densities, Microelectronic Engineering, 86, 4-6, 611 614
  48. 48. Hong H. Lee J. Lee H. 2007 Fabrication of 50 nm patterned nickel stamp with hot embossing and electroforming process, Microelectronic Engineering, 84, 5-8, 977-979
  49. 49. Houle F. Guyer E. Miller D. et al. 2007 Adhesion between template materials and UV-cured nanoimprint resists, J. Vac. Sci. Technol. B, 25 4 1179 1185
  50. 50. Hussain M. Labelle E. Sassman B. et al. 2007 Deposition thickness based high-throughput nano-imprint template, Microelectronic Engineering, 84 594 598
  51. 51. Ishii Y. Taniguchi J. 2007 Fabrication of three-dimensional nanoimprint mold using inorganic resist in low accelerating voltage electron beam lithography, Microelectronic Engineering, 84 912 915
  52. 52. Jeff Myron L. Gershtein L. Gottlieb G. et al. 2005 Advanced mask metrology enabling characterization of imprint lithography templates, Proc. of SPIE, 5752, pp. pt.1
  53. 53. Jeong J. Choi Y. Shin Y. et al. 2002 Flow behavior at the embossing stage of nanoimprint lithography, Fibers and Polymers, 3 3 113 119
  54. 54. Jeong J. Kim K. Sim Y. et al. 2005 A step-and-repeat UV-nanoimprint lithography process using an elementwise patterned stamp, Microelectronic Engineering, 82 180 188
  55. 55. Jiang L. Feldman M. 2005 Accurate alignment technique for nanoimprint lithography, Proc. of SPIE, 5752, 429 437
  56. 56. Jones R. Soles C. Lin E. et al. 2006 Pattern fidelity in nanoimprinted films using critical dimension small angle X-ray scattering, Journal of Microlithography, Microfabrication, and Microsystems, 5, 1, 013001
  57. 57. J-FIL, http://www.molecularimprints.com/Technology/technology2.html, 2009
  58. 58. Jun G. Wu W. Ganapathiappan S. et al. 2005 Issues on nanoimprint lithography with a single-layer resist structure, Appl. Phys. A., 81 1331 1335
  59. 59. Jun Y. Wu W. Ganapathiappan S. et al. 2005 Issues on nanoimprint lithography with a single-layer resist structure, Appl. Phys. A, 81 1331 1335
  60. 60. Kao P. Chua S. Zhan C. et al. 2005 Fabrication of the patterned flexible OLEDs using a combined roller imprinting and photolithography method, Proceedings of 2005 5th IEEE Conference on Nanotechnology, Nagoya, Japan
  61. 61. Kehagias N. Chansin G. Reboud V. et al. 2006 Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography, J. Vac. Sci. Technol. B, 24 3002 3005
  62. 62. Kettle J. Coppo P. Lalev G. et al. 2008 Development and validation of functional imprint material for the step and flash imprint lithography process, Microelectronic Engineering, 85 850 852
  63. 63. Key Technologies, http://www.obducat.com/Default.aspx?ID=187, 2009
  64. 64. Khang D. Lee H. 2008 On the Mechanism of low-pressure imprint lithography: capillarity vs viscous flow, Langmuir, 24 5459 5463
  65. 65. Kim W. Choi D. Bae B. 2006 Ultraviolet-nanoimprint of 40 nm scale patterns using functionality modified fluorinated hybrid materials, Nanotechnology, 17 13 3319 3324
  66. 66. Koo N. Bender M. Plachetka U. et al. 2007 Improved mold fabrication for the definition of high quality nanopatterns by soft UV-nanoimprint lithography using diluted PDMS material, Microelectronic Engineering, 84 904 908
  67. 67. Kurihara M. Abe M. Suzuki K. et al. 2007 3D structural templates for UV-NIL fabricated with gray-scale lithography, Microelectronic Engineering, 84 999 1002
  68. 68. Lan H. Ding Y. Liu H. et al. 2007 Review of the wafer stage for nanoimprint lithography, Microelectronic Engineering, 84 4 684 688
  69. 69. Lan H. Ding Y. Liu H. et al. 2009 Mold deformation in soft UV-nanoimprint lithography, Science in China Series E: Technological Sciences, 52 2 294 302
  70. 70. Lan S. Lee H. Ni J. et al. 2008 Survey on roller-type nanoimprint lithography (RNIL) process, International Conference on Smart Manufacturing Application, Korea, 371 376
  71. 71. Lee J. Park S. Choi K. et al. 2008 Nano-scale patterning using the roll typed UV-nanoimprint lithography tool, Microelectronic Engineering, 85 861 865
  72. 72. Lee H. Jung G. 2004 UV curing nanoimprint lithography for uniform layers and minimized residual layers, Japanese Journal of Applied Physics, 43 12 8369 8373
  73. 73. Lee M. Lee N. Lim J. et al. 2006 Antiadhesion surface treatments of molds for high-resolution unconventional lithography, Adv. Mater. 18 3115 3119
  74. 74. Li M. Chen L. Zhang W. et al. 2003 Pattern transfer fidelity of nanoimprint lithography on six-inch wafers, Nanotechnology, 14 33 36 .
  75. 75. Li N. Wu W. Chou Y. 2006 Sub-20-nm alignment in nanoimprint lithography using Moire´ fringe, Nano Lett., 6 11 2625 2629
  76. 76. Liang X. Hua F. Fu Z. et al. 2007 Air bubble formation and dissolution in dispensing nanoimprint lithography, Nanotechnology, 18, 025303
  77. 77. Macintyre D. Thoms S. 2005 A study of resist flow during nanoimprint lithography, Microelectronic Engineering, 78-79, 670-675.
  78. 78. Maltabes J. Mackay R. Cottle R. 2005 An integrated approach to the analysis of imprint vs. optical lithography, or why this is not just a mask discussion, Proc. of SPIE, 5992, 59924A
  79. 79. Maltabes J. Mackay R. 2006 Current overview of commercially available imprint templates and directions for future development, Microelectronic Engineering, 83 933 935
  80. 80. MII, http://www.molecularimprints.com/index.html, 2009
  81. 81. Miller M. Schmid G. Doyle G. et al. 2007 Template replication for full wafer imprint lithography, Microelectronic Engineering, 84 885 890
  82. 82. Muhlberger M. Bergmair I. Schwinger W. et al. 2007 A Moire′ method for high accuracy alignment in nanoimprint lithography, Microelectronic Engineering, 84 925 927
  83. 83. Nanoimprint lithography, Wikipedia, http://en.wikipedia.org/wiki/Nanoimprint_lithography, 2009
  84. 84. Obducat, http://www.obducat.com/, 2009
  85. 85. Pan C. Cheng R. 2008 Development of self-built multilayer aligner for imprint process, Journal of Materials Processing Technology, 204 502 507
  86. 86. Park S. Schift H. Padeste C. et al. 2004 Anti-adhesive layers on nickel stamps for nanoimprint lithography, Microelectronic Engineering, 73-74, 196 201
  87. 87. Pelzer R. Gourgon C. Landis S. et al. 2005 Nanoimprint lithography-full wafer replication of nanometer features, Proc. of SPIE, 5650 256 259
  88. 88. Pfeiffer K. Fink M. Ahrens G. et al. 2002 Polymer stamps for nanoimprinting, Microelectronic Engineering, 61-62, 393 398
  89. 89. Piaszenski G. Barth U. Rudzinski A. et al. 2007 3D structures for UV-NIL template fabrication with grayscale e-beam lithography, Microelectronic Engineering, 84 945 948 .
  90. 90. Plachetka U. Bender M. Fuchs A.. et al. 2004 Wafer scale patterning by soft UV-nanoimprint lithography, Microelectronic Engineering, 73-74, 167 171
  91. 91. Plachetka U. Kristensen A. Scheerlinck S. et al. 2008 Fabrication of photonic components by nanoimprint technology within ePIXnet, Microelectronic Engineering, 85 886 889
  92. 92. Resnick D. Schmid G. Miller M. et al. 2007 Step and flash imprint lithography template fabrication for emerging market applications, Proc. of SPIE, 6607, 6 6070T
  93. 93. Reuther F. 2005 Advanced polymers and resists- A key to the development of nanoimprint lithography, Journal of Photopolymer Science and Technology, 18 4 525 530
  94. 94. Scheer H. Schulz H. 2001 A contribution to the flow behaviour of thin polymer films during hot embossing lithography, Microelectronic Engineering, 56 311 332
  95. 95. Schift H. 2008 Nanoimprint lithography: An old story in modern times? A review, J. Vac. Sci. Technol. B., 26 2 458 480
  96. 96. SCIL, http://www.suss.com/applications/nano_imprint_lithography/scil, 2009
  97. 97. Shao J. Liu H. Ding Y. et al. 2008 Alignment measurement method for imprint lithography using moiré fringe pattern, Optical Engineering, 47, 1, 113604
  98. 98. Shao J. Ding Y. Liu H. et al. 2009 Strategy for a loading force induced overlay position shift in step imprint lithography, Journal of Engineering Manufacture, 223 1 9 17
  99. 99. Steward M. Willson C. 2005 Imprint materials for nanoscale devices, MRS bulletin, 30 947 951.
  100. 100. Suh D. Rhee J. Lee H. 2004 Bilayer reversal imprint lithography direct metal-polymer transfer, Nanotechnology, 15 1103 1107
  101. 101. SUSS, http://www.suss.com/index.php, 2009
  102. 102. Tan H. Kong L. Li M. et al. 2004 Current status of nanonex nanoimprint solutions, Proceedings of the SPIE, 5374, 213 221
  103. 103. Taniguchi J. Koga K. Kogo Y. et al. 2006 Rapid and three-dimensional nanoimprint template fabrication technology using focused ion beam lithography, Microelectronic Engineering, 83 940 943
  104. 104. Toshiba, Toshiba brings track recording technology to prototype 120 GB hard disk drive, http://www.huliq.com/33259/toshiba-brings-track-recording-technology-to-prototype-of-120gb-hard-disk-drive, 2009
  105. 105. Voisin P. Zelsmann M. Gourgon C. et al. 2007 High-resolution fused silica mold fabrication for UV-nanoimprint, Microelectronic Engineering, 84 916 920
  106. 106. Wu C. Shen Y. Chuang S. et al. 2007 Anti-adhesive effects of diverse self-assembled monolayers in nanoimprint lithography, Sensors and Actuators A, 139 145 151
  107. 107. Wu W. Tong W. Bartman J. et al. 2008 Sub-10 nm Nanoimprint lithography by wafer bowing. Nano Lett., 8 11 3865 3869
  108. 108. Yokoo A. Namatsu H. https://www.ntt-review.jp/archive/ntttechnical.php?contents=ntr200808sp3.html, 2009
  109. 109. Yoneda I. Mikami S. Ota T. et al. 2008 Study of nanoimprint lithography for applications toward 22-nm node CMOS device, Proc. of the SPIE, 6921, 2, 692104
  110. 110. Yoshida K. Kojima K. Abe M. et al. 2008 Optimization of measuring conditions for templates of UV nano imprint lithography, Proc. of SPIE, pp. 70281V-1
  111. 111. Yoshida Y. Amano T. Sasaki S. et al. 2006 Dry etch technology development for NIL template, Proc. of SPIE, 6283, 62833G
  112. 112. Youn S. Ogiwara M. Goto H. et al. 2008 Prototype development of a roller imprint system and its application to large area polymer replication for a microstructured optical device. Journal of materials processing technology, 202 76 85
  113. 113. Yusa S. Hiraka T. Kobiki A. et al. 2007 Progress of Nil template making, Proc. of SPIE, 6607, 66073H
  114. 114. Zero residual layer nanoimprint lithography, 2009 http://techtransfer.universityofcalifornia.edu/NCD/19159.html.

Written By

Hongbo Lan and Yucheng Ding

Published: 01 February 2010